Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Similar documents
R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

NSR-2205i14E (6" Reticle Type)

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

0. Table of contents. Author: Jaap Snijder

Visual Test Light Scattering Reticle. Users Guide

Figure 1 below shows the generic process flow of an LELE method of double patterning.

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

GCA AS200 Job Preparation

ON SITE SYSTEMS Chemical Safety Assistant

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

University of Minnesota Nano Center Standard Operating Procedure

Sample Alignment (2D detector) Part

PHY 111L Activity 2 Introduction to Kinematics

Jasco V-670 absorption spectrometer

Sample Alignment Part

Performing Map Cartography. using Esri Production Mapping

Nuclear Associates

Create Satellite Image, Draw Maps

Mnova Software for Analyzing Reaction Monitoring NMR Spectra

1. Prepare the MALDI sample plate by spotting an angiotensin standard and the test sample(s).

Circular Motion and Centripetal Force

nmos IC Design Report Module: EEE 112

O P E R A T I N G M A N U A L

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

custom reticle solutions

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

ST-Links. SpatialKit. Version 3.0.x. For ArcMap. ArcMap Extension for Directly Connecting to Spatial Databases. ST-Links Corporation.

University of Colorado Denver Anschutz Medical Campus Online Chemical Inventory System User s Manual

Relative Photometry with data from the Peter van de Kamp Observatory D. Cohen and E. Jensen (v.1.0 October 19, 2014)

Building Inflation Tables and CER Libraries

Photolithography II ( Part 1 )

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Instructor s Advance Preparation

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS-C Flexible Reticle Stocker

v Prerequisite Tutorials GSSHA WMS Basics Watershed Delineation using DEMs and 2D Grid Generation Time minutes

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher

Rain Watch TM Set up Manual. IC System with Rain Bird IC CONNECT

M E R C E R W I N WA L K T H R O U G H

Mass Asset Additions. Overview. Effective mm/dd/yy Page 1 of 47 Rev 1. Copyright Oracle, All rights reserved.

NEW HOLLAND IH AUSTRALIA. Machinery Market Information and Forecasting Portal *** Dealer User Guide Released August 2013 ***

Advanced laser technology for 3D-shaping ~ toward to the highest brightness of electron beam source ~

Lab 1 Uniform Motion - Graphing and Analyzing Motion

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

EUROMASTER HYDRAULIC PRESSBRAKES

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

Model 2300XP PSL & Process-Particle Wafer Deposition System

Graphical User Interfaces for Emittance and Correlation Plot. Henrik Loos

Physics 208 Final Exam

ph electrode Instruction Manual For use with the RAH-210 & RPH-250

Cerno Application Note Extending the Limits of Mass Spectrometry

Preparing a PDB File

CUSTOM RETICLE SOLUTIONS

Manual for some LCLS Matlab GUIs. Henrik Loos SLAC, 2575 Sand Hill Road, Menlo Park, CA 94025

Bright Advance Corporation

Instytut Fizyki Doświadczalnej Wydział Matematyki, Fizyki i Informatyki UNIWERSYTET GDAŃSKI

SuperCELL Data Programmer and ACTiSys IR Programmer User s Guide

Agilent MassHunter Quantitative Data Analysis

Fixturlaser Alignment System

water work RAIN BUCKET making since 1986 ET2000 (400 SERIES) RAIN BUCKET SETUP

2. To measure the emission lines in the hydrogen, helium and possibly other elemental spectra, and compare these to know values.

TECHNICAL MANUAL 820 LX / 910 LX / 1300 LX

JOB REQUESTS C H A P T E R 3. Overview. Objectives

Photoluminescence Spectrometer (FLS980)

LAB 2 - ONE DIMENSIONAL MOTION

Experiment 13. Dilutions and Data Handling in a Spreadsheet rev 1/2013

PC Control / Touch Control


ACE Control System. 1.0-m Pomona College Telescope Table Mountain Observatory ACE User Manual April 2005 Revision All rights reserved.

Registration Error Terms: Grid: Wafer Terms and Field IFD

New Approaches to the Development of GC/MS Selected Ion Monitoring Acquisition and Quantitation Methods Technique/Technology

Chem 253. Tutorial for Materials Studio

EE 434 Lecture 7. Process Technology

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Physics Department. Experiment 03: Work and Energy

Software BioScout-Calibrator June 2013

Technical notes: using the optical/ellipsometric film thickness measurement setup.

A BASE SYSTEM FOR MICRO TRAFFIC SIMULATION USING THE GEOGRAPHICAL INFORMATION DATABASE

From BASIS DD to Barista Application in Five Easy Steps

TitriSoft 2.5. Content

Lightcloud Application

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

FOCUS 30/FOCUS 35 Field Calibration with Survey Pro Field Software

MERGING (MERGE / MOSAIC) GEOSPATIAL DATA

Description of the ED library Basic Atoms

Vacuum Kelvin Force Probe Research Richard Williams August 1st 2008

Astronomy 101 Lab: Stellarium Tutorial

The CSC Interface to Sky in Google Earth

From BASIS DD to Barista Application in Five Easy Steps

Become a Microprobe Power User Part 2: Qualitative & Quantitative Analysis

ARISTARCHOS TELESCOPE 2.3 m

On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

Zetasizer Nano-ZS User Instructions

CHARA Meeting 2017 Pasadena, California

BCMB/CHEM 8190 Lab Exercise Using Maple for NMR Data Processing and Pulse Sequence Design March 2012

Polar alignment in 5 steps based on the Sánchez Valente method

Creation and modification of a geological model Program: Stratigraphy

Store User Guide - Forecasting. Jimmy John s

Best Pair II User Guide (V1.2)

Quartz Crystal Nanobalance (QCN)

Transcription:

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation Machine Chart Equipment SOPs ICL TRL EML Other Lab SOPs Training Chart PTC Process Matrix CORAL Administration Computation I-stepper STANDARD OPERATING PROCEDURE CORAL Name: i-stepper Model Number: Nikon NSR2005i9 Location: ICL What it does: Wafer stepper Introduction: The ICL i-stepper is a machine that transfers mask circuit patterns photographically onto existing patterns on 6 silicon wafers with high resolution and great positional and overlay accuracy. The i-stepper uses I-line UV illumination (365 nm Hg spectral peak) to expose mask images in a photosensitive film that coats the wafers. The system aligns the wafer and prints each frame as an X-Y stage positions the wafer beneath a fixed lens column. The image that is printed is a 5x reduction of the mask (reticle) design and can be aligned to an existing wafer pattern to within 50 nm accuracy and with a limit of resolution of around 0.5 um. The image field size can be adjusted from the full frame 22.0 mm down to 2.5 mm and this smaller image can be placed anywhere within the field.

The wafer stage is controlled by a laser interferometer system which measures its X-Y position relative to the lens optical column. Nikon s LSA wafer alignment method utilizes this positioning system to calculate deviation from the ideal position as defined in a process program and corrects wafer placement. The wafer stage also corrects wafer rotational error, (as detected by the LSA alignment system), corrects wafer leveling, and moves the wafer in the Z axis to maintain focal distance to the lens as part of it s auto-focus system. The i-stepper is housed inside a chamber to provide environmental and vibration isolation. User access is provided by a control console with keyboard/crt /joystick interface to the MCS II system software. Overall system control is managed by a DEC PDP-11 computer. The stepper user creates and executes process programs which contain information about the reticle, wafer and exposure parameters, alignmnent marks and stepping configuration. Different program directories are maintained for separate engineering, maintenance and operation functions. All operations are executed through MCSII commands. These commands are listed in the form of menus, the primary one being the NSR Command Menu. The "process program" is the job or file that is being executed. Process programs are stored in directories, typically by type or by user. Safety: Safety glasses are required at all times in the lab. Do not attempt to view the exposure process. The UV illumination and the presence of measurement lasers are not healthy for your vision. Keep your hands free of the wafer transfer mechanisms. Do not attempt to remove a wafer or reticle from the machine by hand. Procedure: Check the Schedule Menu in CAFE to confirm your reservations. Open an "Operate Machine" entry from the Fabrication Tools menu choice. Typical log entries pertain to number of wafers, exposure time and focus values. Place your coated 6" wafers on the left cassette indexer. At the console choose Command Menu #3 - Execute Program. The system will prompt you for the program name. Your process program must be created and placed in the "entry list" buffer prior to job execution. This procedure contains the information needed to create/edit a process program file. Enter your process program name <cr>. The system will bring up the reticle page.

In the Operation field (upper right) choose CHANGE and enter the slot number of your reticle in the slot field. Press PF1 and confirm Go to execute reticle loading. Reticle loading/alignment will take 2 minutes. If a reticle was left on the reticle stage, this change command will return it to it's cassette in the library. Press PF2. This will present options in the form of a "spot menu". Choose Exposure to enter the following parameters: o number of wafers o method of exposure o exposure time o focus offset There are two basic methods of exposure: the Normal mode, where exposure time and focus offset are fixed and the Test mode where these parameters are varied. This Test method is a common way to determine daily best focus/dose settings. Press PF1 and confirm Go to execute the job. No other intervention by the user is necessary, unless one choose to perform alignment in manual mode. Wafer are returned to their position in the cassette when exposure is completed. Close your "Operate Machine" window in CAFE. NSR Command Menu ( 1 ) ENTER process program( 8 ) REMOVE wafer & reticle ( 2 ) READY process( 9 ) SHOW status ( 3 ) EXECUTE process(10 ) CALIBRATE machine ( 4 ) CONTINUE process(11 ) ADJUST machine ( 5 ) RESERVE reticle(12 ) EDIT process data file ( 6 ) CANCEL reserved reticle(13 ) ASSIGN reticle ( 7 ) EXAMINE reticle Processing and exposing a wafer uses four main commands which access specifications about the job to be processed and control the subsystems needed to execute it. They are: ( 1 ) ENTER process program. This command manages all of the process programs and allows the user to load a job from the hard drive into a buffer called the Process Program Entry List. The ENTER command presents it's own "Main Menu" choices that allow the user to select a job from the entry list and elevate it to "current process program" ( 2 ) READY process. This command executes reticle operations such as load, alignment and unload of the reticle that is specified in the current process program. ( 3 ) EXECUTE process. Performs both reticle and wafer processing operations with information found in the process program.

( 4 ) CONTINUE process. This command allows one to resume processing with additional wafers using the same program and the same reticle. Can be used only after EXECUTE command has completed successfully. The advantage here is that the reticle does not need to be realigned. Keyboard entry of commands and navigation through the many screens of information is made easier by the externsive use of the four function keys above the number pad. PF1 is the primary execute key, PF2 is often used to access a "spot menu" of options and PF3 and PF4 are used to facilitate travel to previous and next pages. Their functions change but are usually highlighted at the screen bottom line: PF1 : Command menu PF2 : Spot menu PF3 : Previous page PF4 : Next page Alignment ENTER process program, load cassette of wafers. Select and load a reticle from the library. The alignment system positions the reticle such that its q - Y axis is parallel to the Y stage mirror. When completed, reticle position is measured against wafer stage (fiducial) position. LSA and FIA alignment systems establish their "baseline" positions at this time. Wafer orientation flat is found at pre-aligner. When processing a first layer, wafer fine alignment is not necessary and the wafer is stepped out. When a wafer is loaded onto the stage, auto-focus and leveling operations are performed. Next, Search alignment begins: the WY-q grating marks are scanned by LSA laser. Returned, diffracted light generates a waveform signal which is measured against stage position. The wafer theta stage removes any WY-Wq rotation. As with the reticle, this axis must be parallel to the interferometer system's Y-stage mirror. Finally, the WX global mark is found and wafer position is read into memory. The Enhanced Global Alignment (EGA) method takes several measurements and calculates shot positions correction values for X-Y offset, scaling, rotation, and X-Y othogonality. It then calculates an average correction value and, with it, determines the exposure position of every single xposure. The Die-by-Die (D/D) method performs X-Y measurement using the LSA or FIA systems and corrects positioning before using the LSA or FIA each exposure shot. Creating a Process Program A "process " is a group of programs that may be alike in some way; by user, by product, substrate, device type etc. The "program" is a member of the process family and it contains all the information neccesary to align and step a wafer. To create a new process program use Command Menu choice #12 Edit Process Data file. This brings you to a Process Directory. Move to the appropriate box in the grid of

return. processes that is presented using the arrow keys, press This brings you to the "Define Process Name" screen. You are in Create mode by default upon entering (the other mode is Delete). Move to an empty field in the grid and type in a new name. This opens the new file and presents five sections: sections 1. WAFER BASIC data 2. RETICLE data 3. ALIGNMENT data 4. WAFER SHOT MAP data 5. WAFER EXPOSURE CONDITION data You must specify values to fill in the fields provided in these sections. The PF keys facilitate movement within the file and the PF2 pops up the section menu at any time. A check and save function exists as well. WAFER BASIC DATA: Wafer size = 150 mm Wafer shape = circle Diameter = less than or equal to wafer size Orientation flat = direction = Front, length = can vary Step pitch in X and Y = center to center die spacing Map Layout = number of shots in row and columns Map Offset = moves whole map in X,Y to maximize shots on the wafer. Shot Offset = up to seven die offsets can be put in RETICLE DATA: Name = nam e of the reticle Type = STD22 Check area independency = No Check Area (mm) = particle check area, not used Alignment adjust (um) = specify adjustment value in X, Y, q. Pages 2-9 in this section give options for different reticle blind settings. ALIGNMENT DATA Search = LSA, g-ega = LSA or FIA, D/D = LSA or FIA or LIA Search mark data Mark position = Wy-q and Wx search mark positions within the die (um). Mark type, size, pitch, size tolerance, pitch tolerance. = See reticle making SOP for recommended values. Y-theta search sequence = Quick or Normal Y-theta independency = No Theta mark fix (63.5) = global Y to q distance during WGA search Vibration amplitude = 1 Signal gain select = generally Y = 1, and X = L11 Search mark choice = generally set to middle Search processing algorithm = generally set to 1. See manual for details Search margins = generally set to 0. g-ega mark data

Mark position = LSA-x mark placement in X & Y, LSA-y placement. (um) Mark type, pitch = number of rows in LSA array, spacing of rows. Scanning times, steps = generally set to 1, 0 Mark profile = (0) concave or (1) convex, generally set to 1 Result allowance = generally set to 0. Source signal = Mixed or Separate, generally set to Mixed Processing algorithm = different methods of evaluating waveforms, = 3. Signal gain select = generally set to L22 for both X and Y. Algorithm slice level = when processing algorithm(above) is set to 3, use 20%. Processing gate-a width = with Alg=3 use 8 um. Processing gate-b width = use 0 with algorithm 3. EGA reject, reject limit = set to No, 0 respectively. EGA requisite shots = set to 0, will sample 2 sites as a minimum. Multi-mark error mode = 0 D/D (die-by-die) mark data Mark position = LSA-x, LSA-y mark placement. X & Y values, in um. Mark type, pitch = number of rows in LSA array, spacing of rows. Scanning times, steps = set to 1, 0 respectively. Mark profile = use 1, convex Result allowance = set to 0 unless there are problems with misalignment, Source signal = Mixed or Separate, generally set to Mixed Processing algorithm = different methods of evaluating waveforms, = 3. Signal gain select = manual is ambiguous, we set to L11. Algorithm slice level = when processing algorithm(above) is set to 3, use 20%. Processing gate-a width = with Alg=3 use 8 um. Processing gate-b width = use 0 with algorithm 3. EGA reject, reject limit = set to No, 0 respectively. EGA requisite shots = set to 0, will sample 2 sites as a minimum. Multi-mark error mode = 0 WAFER SHOT MAP data The shot map data screen gives a visual representation of wafer defining where the exposures are located for each of the different shot catagories. Exposure shots = are defined by an asteric in the appropriate row/column. Alignment shots = whether s-search, g-ega or d- D/D. Designated die are the ones measured by the sensors. Offset shots= as defined in Wafer Basic data page 2. Blind Shots = as defined in Reticle Data pages 2-9 WAFER EXPOSURE CONDITION data Exposure Method = Normal = all die shot with same focus, dose values. = Test1 = focus is fixed, exposure increases in a serpentine. = Test2 = exposure varies across columns, focus by rows.

= Test3 = fixed exposure time, focus changes with each shot = Test4 = exposure time changes by row, focus by column. Exposure time (ms) = in Normal mode time is fixed, in Test-mode this number will be the center value and step will be the increment. Focus (+/- um) = in Normal mode focus is fixed, in Test mode this value will be center and next value entered will be the step. Alignment Method = 1ST used for 1st level exposure. = EGA used for aligning to previous level. = D/D for field by field alignment to previous level. = Search for global align only, not fine alignment. EGA path = generally g-ega Leveling Mode = set to No EGA result usage = set to g-ega for all: Scaling-Orthog-Rotation-Offset Correction fix = set to No for no Author: Paul Tierney, 9/02