Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Similar documents
Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Roughness characterization in positive and negative resists

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Development of Lift-off Photoresists with Unique Bottom Profile

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

EUV Resist-Fundamental Research

A. Optimizing the growth conditions of large-scale graphene films

More on Stochastics and the Phenomenon of Line-Edge Roughness

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Etching: Basic Terminology

Introduction. Photoresist : Type: Structure:

Reactive Ion Etching (RIE)

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

A Parameter Extraction Framework for DUV Lithography Simulation

Polymer Matrix Effects on EUV Acid Generation

LECTURE 5 SUMMARY OF KEY IDEAS

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane

ETCHING Chapter 10. Mask. Photoresist

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Section 3: Etching. Jaeger Chapter 2 Reader

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

Spatial distribution of reaction products in positive tone chemically amplified resists

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Resist material for negative tone development process

Photolithography 光刻 Part II: Photoresists

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Supplementary information for

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Current Status of Inorganic Nanoparticle Photoresists

UV2Litho Usable Vacuum Ultra Violet Lithography

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Sensors and Metrology. Outline

Flexible nonvolatile polymer memory array on

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Trends in plasma applications

Effect of PAG Location on Resists for Next Generation Lithographies

Tutorial on Plasma Polymerization Deposition of Functionalized Films

FRACTAL CONCEPT S IN SURFACE GROWT H

Transient Electro-Optic Properties of Liquid Crystal Gels

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Advances in Step and Flash Imprint Lithography

EE-612: Lecture 22: CMOS Process Steps

Particle Generation during Photoresist Dissolution

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

DUV Positive Photoresists

IC Fabrication Technology

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Disordered Structures. Part 2

MICRO AND NANOPROCESSING TECHNOLOGIES

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

Stochastic modeling of photoresist development in two and three dimensions

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Surface roughness development during photoresist dissolution

Engineering aspect of emulsion polymerization

Introduction to Photolithography

Top down and bottom up fabrication

Recent progress in nanoparticle photoresist development for EUV lithography

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems

Electron-beam SAFIER process and its application for magnetic thin-film heads

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

Carrier Transport by Diffusion

Screening of basic resist materials and PAGs for EUV-Lithography

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles


Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Polymers. Steep Slope = 3/5 : Self-Avoiding Walk (Polymer Solution) Shallow Slope = 1/2 : Gaussian Random Walk (Polymer Melt)

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

Line Edge Roughness, part 2

Highly corrosion resistant organic-inorganic hybrid coatings prepared using the sol-gel process

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Transcription:

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to understand its origins, characterize and reduce it. Purpose : Quantitative characterization of roughness Examine different roughness parameters and their interrelations and determine the best way of characterizing roughness. Dependence on material properties and process conditions (experimental study) Study of roughness dependence on material properties and process conditions. Simulation of roughness formation (prediction) Understand the Line edge and Surface roughness ( and ) formation and prediction with molecular simulations.

Origins of Surface and Line Edge Roughness ( and ). Materials Processes Material properties: Polymer, MW, MW distribution Si content Coating Exposure Energy PEB deprotection / crosslinking Exposure system characteristics ( ) (latent image formation) latent latent Wet development of top layer Silylation Wet development (isotropic) Dry development of bottom layer (Anisotropic) Option: breakthrough step Pattern Transfer (etching) BiLayer Dry Development (Anisotropic) Option: Breakthrough step Pattern transfer (etching) Silylated Pattern transfer (etching) Single layer

N 1/ 2 1 2 s rms zi Zav N i 1 Characterization of roughness 1. Rms roughness easily calculated only gives the vertical magnitude of roughness depends on the scale of measurement 2. Fractal dimension D (calculated by the variation method) (B.Dubuc et al. Phys. Rev. A 39, 1500 (1989)) D=1.2 D=1.7 (for lines 1<D<2, for surfaces 2<D<3 ) needs careful implementation measures the spatial complexity of roughness.3. Scaling behavior of rms in an experimental surface Scaling hypothesis : where Rms(L) is an average over many samples, L cor is the correlation length and the scaling exponent 0<a<1, D=2-a Take care of the correlation length Lcor : The estimation of the Rms is reliable if and only i the sample size L is larger than the correlation length Lcor (L>Lcor).

4. Frequency spectrum (FFT analysis) 0,1 amplitude 0,01 1E-3 related to rms slope = 2.5 - fractal dimension D Power law in FFT reveals self-similar structure. Fractal analysis is possible 1E-4 0,01 0,1 spatial frequency (nm -1 ) High frequency FFT behavior gives the fractal dimension BUT needs more data points than variation method Low frequency FFT amplitude is related to rms BUT only qualitatively.

Negative tone epoxy resist (wet development) Negative tone siloxane resist (oxygen development in HDP reactor) Rms (nm) 9 8 7 6 5 4 3 2 1 PAG 1%, PAB 110 o C 1.0 1.5 2.0 2.5 3.0 3.5 4.0 Dose (µc/cm 2 ) 2.45 Fractal dimension D 2.40 2.35 2.30 2.25 2.20 At high doses rms increases and D decreases Effect of exposure dose and bias voltage during BTS Rms (nm) 20 18 16 14 12 10 8 6 4 2 0 no bias at BTS bias 100 V at BTS 5 6 7 8 9 10 11 Dose (µc/cm 2 ) No bias voltage rms curve drops more quickly 2.7 2.6 2.5 2.4 2.3 2.2 Fractal dimension D Opposite behavior of rms and D vs exposure dose

Gel Formation Modeling in a Negative Tone CAR CH3 O [ ] H2C CH2 CH O CH2 Polymer chain with 5 monomers Lattice Model of EPR (EPoxy Resist) Crosslinked Monomer Initiated Sites Crosslink Free Volume Gel Formation (Molecular Modeling) Polymer chains and initiator molecules in lattice Initiation -Acid Diffusion - Cross-linking - Clustering Graph and Percolation theory Part of the same chain Periodic Boundary Conditions G.P.Patsis and N.Glezos, Molecular Dynamics Simulation of Gel Formation and Acid Diffusion in Negative Tone Chemically Amplified Resists, Microelec. Engin. 46, 359 (1999).

Line Edge Roughness Modeling after development 0.1µm 0.1µm 0.25µm C=10% in initiator RMS Roughness N 1/ 2 1 2 s rms zi Zav N i 1 Line Edge Roughness Before and after exposure and after development Top and side line roughness Dependence upon polymerization length, acid diffusion length and initiator concentration Simulation of Surface and Line-Edge Roughness formation in Resists, G.P. Patsis, E. Gogolides, Microelectronic Engineering, 57-58 (2001), 563-9

Rms Roughness (nm) Rms 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 Comparison of simulation with experimental results after development 5%PAG 1%PAG Exp. data 1% PAG from crosslinks 1%PAG 0 1 2 3 2 Dose (µc/cm ) (for of negative tone epoxy resist) Fractal dimension D 2,6 2,5 2,4 2,3 2,2 Fractal dimension D 1% PAG simulator (after crosslinks formation) experimental simulator (after development) 2,1 0,5 1,0 1,5 2,0 2,5 3,0 3,5 4,0 Dose (µc/cm 2 ) Qualitative agreement between simulation and experimental data Simulator reproduces the opposite behavior of rms and D vs dose

What more about D 1. Fractal dimension D and correlation length Lcor* Positive tone resist surfaces 2. Error in Rms measurements (SD) versus fractal dimension D Negative tone resist surfaces (EPR) D and Lcor are not independent quantities. For the surfaces we studied: as D increases Lcor decreases (despite the fluctuations, due probably to the statistics). Negative tone resist surfaces give similar results. Similar results from positive resists. Surfaces with low D show large Rms error (SD). Hence, more samples need to be measured.

Conclusions 1. Important for roughness characterization : a) Rms (vertical roughness) b) Fractal dimension D (spatial roughness) FFT gives both Rms and D but needs a lot of data points to be reliable. 2 Rms measurements require sample sizes larger than the correlation length Lcor. 3. Correlation length depends on the dose for both positive and negative tone resists as well as the PAG content. 4. Theoretically, D, Rms and Lcor are independent quantities. But, for the positive and negative resists we studied : a. D and Rms exhibit opposite behavior. b. The same is true for D and Lcor. 5. As Lcor increases (i.e. D decreases) more samples are needed for accurate Rms measurement. 6. Simulation methodology exists, and compares well with experimental trends. 7. Simulation needs to be applied for positive tone resists, with aqueous base development

Collaborative Work Needed in before and after etching 1) Create SEM image analysis and detailed evaluation software. SEM images needed, and analysis from metrology instruments for comparison and standardisation. Find the most important parameters for characterization. 2) Have for a couple of resists SEM pictures for series of different conditions (aerial image, process, etc) in order to evaluate process and tool effects on. (193 and / or 157). Have SEM pictures also after etc. 3) Couple 2 above with detailed information on chemistry of resist for simulation of formation and development. 4) What about sidewall surface roughness AFM Analysis of AFM files with our methodology and software possible. 5) after etching, and Ultra Thin resist film resistance. 6) Input needed on standard etch recipes for various resist schemes. (plasma chemistry, steps, duration). 7) Where is etch resistance of UTR resists most needed How does it affect Where should the UTR etching analysis focus