Development status of back-end process for UV-NIL template fabrication

Similar documents
Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Critical Dimension Uniformity using Reticle Inspection Tool

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Nanoimprint Lithography

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

SHRINK. STACK. INTEGRATE.

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Carbon removal from trenches on EUV reticles

Nanosphere Lithography

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Nano-Lithography. Edited by Stefan Landis

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Overview of the main nano-lithography techniques

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

ORION NanoFab: An Overview of Applications. White Paper

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Sensors and Metrology. Outline

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

MSN551 LITHOGRAPHY II

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Lecture 14 Advanced Photolithography

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Nano fabrication by e-beam lithographie

Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing.

Novel Photo Resist Stripping for Single Wafer Process

Actinic review of EUV masks: First results from the AIMS EUV system integration

Scanning Electron Microscopy (SEM) with Energy Dispersive Spectroscopy (EDS) Analysis The samples were also characterized by scanning electron

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Fabrication at the nanoscale for nanophotonics

MICRO AND NANOPROCESSING TECHNOLOGIES

An environment designed for success

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Nanostructures Fabrication Methods

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

We published the text from the next page.

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Current development status of Shin-Etsu EUV pellicle

Defects Panel Discussion

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

Industry needs: Characterisation & Analysis. Prof. Valeria Nicolosi

Resist Heating Dependence on Subfield Scheduling in 50kV Electron Beam Maskmaking

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Achieving Tight sigmas in Bit Patterned Media

Nanotechnology Fabrication Methods.

Cost Implications of EUV Lithography Technology Decisions

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Three Approaches for Nanopatterning

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Micro- and Nano-Technology... for Optics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Positioning, Structuring and Controlling with Nanoprecision

Emerging Lithographic Technologies VII, Roxann L. Engelstad, Editor, Proceedings of SPIE Vol (2003) 2003 SPIE X/03/$15.

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

Influence of mask surface processing on CD-SEM imaging. Phone: FAX:

Electronic Supplementary Information. Enhanced Photocatalytic/photoelectrocatalytic Activities

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Introduction to Electron Beam Lithography

Direct-Write Deposition Utilizing a Focused Electron Beam

Unconventional Nano-patterning. Peilin Chen

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

Electron-beam SAFIER process and its application for magnetic thin-film heads

Cost of Ownership Considerations for Maskless Lithography

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

F R A U N H O F E R I N

Optical Proximity Correction

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

EUV Lithography Status and Key Challenges for HVM Implementation

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

Photoacoustic metrology of nanoimprint polymers

Applications of XPS, AES, and TOF-SIMS

Nanolithography Techniques

Ultrafast Nano Imprint Lithography

Auger Electron Spectroscopy

Analysis of carbon contamination on EUV mask using CSM/ ICS

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Supporting Information. for. Advanced Materials, adma Wiley-VCH 2008

XPS & Scanning Auger Principles & Examples

Supplementary Information. Back-Contacted Hybrid Organic-Inorganic Perovskite Solar Cells

Sensors and Metrology

Abnormal PL spectrum in InGaN MQW surface emitting cavity

Positioning, Structuring and Controlling with Nanoprecision

Lithography and Etching

Highly efficient SERS test strips

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

Transcription:

Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi Dai Nippon Printing Co., Ltd. 2-2-1, Fukuoka, Fujimino-shi, Saitama 356-8507, Japan ABSTRACT Nano-imprint lithography (NIL) is eminently suitable for low cost patterning for nanostructures. As feature sizes of the UV-NIL templates are the same as the wafer patterns, there are enormous challenges such as writing and inspecting smaller patterns for NIL template fabrication. In our previous works, we achieved less than 16nm resolution with a 100keV spot beam writer and non CAR. We also reported optimization of metrology for NIL templates and the characterization of anti-sticking layers with scanning probe microscopies. Normally the template is made from a 6025 photomask blank. After the blank undergoes a process similar to the photomask process, it is diced into 65 mm x 65 mm size and four pieces, and then each piece is polished into its final shape. Therefore it becomes difficult to inspect and clean them, because 65 mm substrates are unfamiliar in photomask industry. In order to reach the step for mass-production of the templates, the development of back-end process, which includes not only cleaning and inspection but also repair, dicing, polishing, and coating anti-sticking layers, is essential. Especially keeping low contamination level during dicing and polishing processes is one of the critical issues. In this paper, we report our development status of back-end process for NIL templates. Especially, we focus on the techniques of reducing adder defects during dicing process and improving cleaning capability. Keywords: template, back-end process, cleaning, dicing and polishing, protection layer 1. INTRODUCTION UV nano-imprint lithography (UV-NIL) is one of the most promising techniques for low cost patterning of nano-structures. Therefore, it has the possibility to achieve the enormous progress in the field of not only semiconductors, but also optics, patterned media and bio-technology. However, as feature sizes of the UV-NIL templates are the same as the wafer patterns, there are enormous challenges such as writing and inspecting smaller patterns for NIL template fabrication. In our previous works, we have achieved less than hp 16nm resolution with a 100keV spot beam writer and non CAR processes [1]. We also reported optimization of metrology for NIL templates [2] and the characterization of anti-sticking layers with scaning probe microscopies [3]. Figure 1 shows a process flow for UV-NIL template fabrication. After a 6025 ptomask blank undergoes a process similar to the photomask process, it is diced into four smaller pieces, and then each piece is polished into its final shape according to specifications for imprint machines. In order to reach the step for mass-production of the templates, the development of back-end process, which includes mesa formation, dicing, polishing, cleaning, inspection, repair, and anti-sticking layers, is essential. In our previous work, we reported a good prospect to achieve the specifications of templates shape in suitable for NIL and method of accurate measurement of the shape [4]. As the dicing and polishing processes are added to typical photomask process, keeping low contamination level is one of the critical issues. So cleaning and protection techniques are key challenges because unique particles would attach on templates during dicing and polishing. Photomask Technology 2008, edited by Hiroichi Kawahira, Larry S. Zurbrick, Proc. of SPIE Vol. 7122, 71223Q 2008 SPIE CCC code: 0277-786X/08/$18 doi: 10.1117/12.803615 Proc. of SPIE Vol. 7122 71223Q-1

In this paper, we report the development status of template quality after dicing and polishing. Especially we focus on optimization of layers protected from contaminations during dicing and polishing and also focus on new cleaning technique to remove strong-interacting particles. Electron Beam Resist Chrome Quartz Exposure Development Chrome etching Quartz Dry etching Dice&Polish (4 templates & discarded glass) Resist stripping Templates (4 templates) Chrome etching Back-end process Anti- Sticking Layer mesa formation Dice polish Cleaning Fig.1: Process flow of UV-NIL template fabrication 2. EXPERIMENTAL For the purpose of development of back-end process, we prepared infrastructures of particle inspection tool and cleaning tool for templates and fabricated several templates diced from 6025 photomask. In order to improve quality of templates, protection layers, which prevent quartz surfaces from being attached by particles, are coated before dicing and polishing. After protection layer removal and cleaning, particle inspection is conducted. Fig.2 shows the procedure for particle inspection of templates. After cleaning for templates, we investigate residual particles with SEM and EDX (Energy Dispersive X-Ray Spectrometer). Table.1 shows the list of apparatus we used for this evaluation. Particles on quartz surface are detected down to 70nm with Magics. Pattern formation & Cleaning Protection layer formation Dicing & Polishing NH HH Protection layer removal & Cleaning 25mm All Inspection with Magics & Particle analysis Fig.2: Procedure for particle inspection of templates Proc. of SPIE Vol. 7122 71223Q-2

Table.1: Evaluation tool template Cleaning Particle inspection Particle analysis Experimental tool at DNP (SPM + Surfactant + MS + Hot-DIW) Magics with a folder by Lasertec SEM (Ultra55 by Zeiss) EDX (QuanTax QX400 by Bruker) 3. RESULTS AND DISCUSSION 3.1 Development status of template quality Firstly the number of residual particles on the templates without patterns after dicing, polishing and cleaning was detected with Magics. Fig.3 shows the history of particle reduction. Inspection area was 25mm x 25mm on the mesa surfaces. At the beginning of template fabrication, many particles were detected on 02/2007. In one and a half years, the number of particles becomes three digits smaller by optimizing protection layer, removal method, and cleaning. (a) (b) (c) (d) 25mm 25 35 25 1254...20 577-15 -18 5O9 Pixel Histogram 2870 U 12 _3542 U.10 3582 I 2811 -._5.344 lp!l Thtj1a52e I I 6 F! 6I H!thg PIxel Hietogrem 143 47 25... 25 25 18...18 (FixeS) Pixel Hixtogram TotaI31 18 18 Fig.3: Particle inspection results on (a) 02/2007 (b) 05/2007 (c) 11/2007 (d) 07/2008 3.2 Particle inspection of templates with LS pattern We fabricated templates with 1um line and space (LS) patterns overall on the mesa area, which were fabricated by same processes as shown in Fig.2. In addition, designed defects were added to LS pattern area for sensitivity analysis of inspection with Magics. Fig.4 shows four types of designed defects. Fig.5 shows a particle inspection result for the template with LS pattern after cleaning. Not only a few particles but designed defects are detected as shown in fig.5 and edge bump defects of 70nm can be detected with high inspection sensitivity mode as shown in Table.2. Proc. of SPIE Vol. 7122 71223Q-3

(a) (b) (c) (d) Fig.4: Defect types for sensitivity analysis of inspection for LS pattern; (a) Iso Bump (b) Edge Bump (c) Iso Trench (d) Edge Trench 1umLS Total # of particles Detected Designed defects 15 103 Fig.5: Particle inspection results for template with LS pattern, after cleaning Table.2: Results of sensitivity analysis of inspection for LS pattern Defect size [nm] Defect Category Not detected Detected with low sensitivity Detected with High sensitivity Not printed 3.3 Evaluation of adder particles with and without protection layers In order to evaluate the effect of the protection layer, we prepared special templates covered with the protection layers on the half of mesa area. Fig.6 shows particle inspection results of the special templates. On the down side of mesa area without the protection layer, many particles were observed after cleaning or even after several times of Proc. of SPIE Vol. 7122 71223Q-4

cleaning. On the other hand, much less number of particles was observed after cleaning on the top side of mesa area with the protection layer. Cleaning x1 Cleaning x2 w. a protection layer w/o a protection layer Total # of particles w. protection area w/o protection area 41 916 9 480 Fig.6: Particle inspection results (a) after 1x cleaning (b) after 2x cleaning 3.4 Residual particle analysis after cleaning We investigated some particles on the quartz surface via the process covered without protection layers by EDX tools. As shown in fig.7, both particle 1 and particle 2 seems to be O2 because their spectra are almost the same as that of the reference. In EDX condition, we set HV at 5kv that enable longitudinal resolution to be under 200nm. Particle 2 was found to be large enough to determine its components. (a) (b) 100nm 200nm cps/ev 25 20 15 10 5 0 C C 24 cps/ev O Point 1 O area 1 22 OK(Qz) OK(Qz) 20 18 16 14 HV=5kV HV=5kV 12 O 10 O 8 6 Y 4 Y Al Os 2 C C Os 0 0.5 1.0 1.5 2.0 2.5 3.0 0.5 1.0 1.5 2.0 2.5 3.0 kev kev (c) cps/ev O OK(Qz) 25 20 15 10 O 5 C Os 0 0.5 1.0 1.5 2.0 2.5 3.0 kev Fig.7: Results of particle analysis (a) particle 1 (b) particle 2 (c) reference (quartz) Proc. of SPIE Vol. 7122 71223Q-5

3.5 Discussion: Effect of protection layers for dicing and polishing In 3.4 sections, strong-interacting particles seem to be O 2 and to attach during dicing and polishing. So it is important to prevent them from attaching with quartz surfaces. Fig.8 shows the schematic description of the effect of protection layers. Particles on the protection layer can be removed along with the protection layer removal. However in this regard, it is very important to prevent O2 particles from reattaching during the protection layer removal or cleaning. 6025 Qz w/o protection layer 6025 Qz with protection layer Dicing and Polishing Many particles adhered after dicing & polishing Cleaning Hard to remove some particles (O 2 ) Removal & Cleaning All particles lifted off after the protection layer removal Fig.8: Description of the effect of layers protected from contamination during dicing and polishing 3.6 Performance of Hamatech MaskTrack cleaner We prepared four pieces of templates that were fabricated through different processes respectively. Condition A, B and C had protection layers during dicing and polishing. On the other hand, Condition D didn t have any protection layers. After dicing and polishing, we conducted three times of cleaning by experimental cleaner at DNP and one time by a new cleaner (Hamatech MaskTrack ). Fig.9 shows results of particle inspection after several times of cleaning. X axis of this graph whose scale is logarithmic is total number of particles. We found that it is possible to remove most of the particles on condition A, B and C (with protection layer) by the new cleaner, but hard to remove on condition D (without protection layer) even by the new cleaner. And also the new cleaner demonstrates much better performance than experimental cleaner. Proc. of SPIE Vol. 7122 71223Q-6

10000 Total # of Particles 1000 100 Condition A Condition B Condition C Condition D With protection layer w/o protection layer Logarithmic graph 10 1 cleaner x1 cleaner x2 cleaner x3 new cleaner x1 Cleaner: Experimental cleaner at DNP New cleaner: Hamatech MaskTrack Fig.9: Total number of particles of four pieces of templates after dicing and polishing 4. SUMMARY We have improved quality of templates by optimizing layers protected from contaminations under dicing and polishing It is difficult to remove most of the particles without protection layers Main component of strongly-interacting particles with quartz surfaces seems to be O2 according to EDX analysis Hamatech MaskTrack demonstrates much better performance than experimental cleaner To achieve templates with zero defects, it is important to prevent strongly-interacting particles from reattaching to quartz surfaces during protection layer removal or cleaning ACKNOWLEDGEMENT The authors would like to thank HamaTech, especially Mr. Uwe Dietze and Dr. Sherjang ngh, for providing access to the precious equipment and technology for this study. The authors would also like to thank co-workers for DNP who supported test mask fabrication or assisted particle analysis. REFERENCES 1. T. Hiraka, S. Yusa, A. Fujii, S. Sasaki, K. Itoh, N. Toyama, M. Kurihara, H. Mohri, N. Hayashi, "UV-NIL templates for the 22-nm node and beyond," Proc. of SPIE6730, p.67305p (2007). 2. K. Yoshida, K. Kojima, M. Abe, S. Sasaki, M. Kurihara, Y. Morikawa, H. Mohri and N. Hayashi, "Optimization of Proc. of SPIE Vol. 7122 71223Q-7

measuring conditions for templates of UV nano imprint lithography," Proc. of SPIE7028, 65 (2008) 3. M. Kurihara, T. Shimomura, K. Yoshida, S. Shimada, H. Mohri, N. Hayashi, M. Akiyama, T. Kobayashi, M. Fujihira, "Characterization of anti-sticking layers on UV-NIL molds by various scanning probe microscopies," Abstract of EIPBN, PI-35 (2007) 4. Y. Aritsuka, K. Ito, K. Yoshida, M. Kurihara, H. Sano, M. Hoga and N. Hayashi, "Fabrication of templates in the shape suitable for nanoimprint lithography and accurate measurement of the shape," Abstract of NNT, P17 (2006) Proc. of SPIE Vol. 7122 71223Q-8