QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS

Similar documents
Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

Holographic Characterization of Agglomerates in CMP Slurries

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION

Lecture 16 Chemical Mechanical Planarization

EV Group. Engineered Substrates for future compound semiconductor devices

Effect of Soft Agglomerates on CMP Slurry Performance

Determination of zeta potential by means of a rotating disk: planar, particulate, and porous samples!

Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Developments in CMP and Impact on CMP Consumables

NANO AND MICROSCALE PARTICLE REMOVAL

1

Epitaxial SiC Schottky barriers for radiation and particle detection

Slide 1 Raymond Jin, Adcon Lab, Inc.

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion

Passionately Innovating With Customers To Create A Connected World

Advances in Back-side Via Etching of SiC for GaN Device Applications

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Method Development. Creating the Perfect Standard Operating Procedure (SOP) 2007 HORIBA, Ltd. All rights reserved.

RHEOLOGICAL CHARACTERIZATION OF MRP FLUID : A REVIEW

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Solvent Wrapped Metastable Colloidal Crystals: Highly Mutable Colloidal Assemblies. Sensitive to Weak External Disturbance

Silicate bonding for stable optical systems for space

PRODUCT SPECIFICATION

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Chemical Mechanical Planarization

Laser Intensity Modulation by Nonabsorbing Defects. M. D. Feit A. M. Rubenchik

Alternative deposition solution for cost reduction of TSV integration

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Non-traditional methods of material properties and defect parameters measurement

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

The Coulter Principle for Outlier Detection in Highly Concentrated Solutions

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

1W, 1206, Low Resistance Chip Resistor (Lead free / Halogen Free)

GaN HEMT Reliability

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Secondary Ion Mass Spectroscopy (SIMS)

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices

The drive to make devices smaller and faster

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

The Characterization of Nanoparticle Element Oxide Slurries Used in Chemical-Mechanical Planarization by Single Particle ICP-MS

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors

Recent progress in MOCVD Technology for Electronic and Optoelectronic Devices

SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES

Graphene devices and integration: A primer on challenges

Quiz #1 Due 9:30am Session #10. Quiz Instructions

ICP-MS Analysis of Bulk & Process Chemicals for Semiconductor Processes. Ann O Connell Chemical Analysis Eng Intel Corporation

Nanomechanics Measurements and Standards at NIST

INVESTIGATION OF NMR- BASED SURFACE AREA MEASUREMENT AS A QUALITY MONITOR FOR NANOPARTICLE SILICA ABRASIVES

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

S.M.A.- VIRGO. Large sapphire substrate absorption measurements for LIGO SMA. Université Claude Bernard LYON I IPNL - IN2P3 CNRS.

PRODUCT SPECIFICATION

3D Silicon Capacitors

CM1000DUC-34SA. Mega Power Dual IGBT 1000 Amperes/1700 Volts

HIGH TEMPERATURE RESISTANT MATERIALS HIGH-TEMPERATURE ELECTRONIC

Plasma Technology September 15, 2005 A UC Discovery Project

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

SUPPLEMENTARY INFORMATION

Electronic Supplementary Information: Synthesis and Characterization of Photoelectrochemical and Photovoltaic Cu2BaSnS4 Thin Films and Solar Cells

DATA SHEET. BGY115A; BGY115B; BGY115C/P; BGY115D UHF amplifier modules DISCRETE SEMICONDUCTORS May 13

SHEAR THICKENING AND DEFECT FORMATION IN CHEMICAL MECHANICAL POLISHING SLURRIES

LPSC424.xxx Low Profile Silicon Capacitor

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Fundamentals of Particle Counting

OSIRIS Optimal SIC substrates for Integrated Microwave and Power CircuitS

Hybrid Wafer Level Bonding for 3D IC

TECHNICAL INFORMATION

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Measuring Lysozyme Monomer at 0.1 mg/ml Concentration. Equipment used : Sample Preparation and Measurement :

Advances in particle concentration measurements

Physics 24 Exam 2 March 18, 2014

Ring Cores General Information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Thin Wafer Handling Challenges and Emerging Solutions

Review: ISO Colloidal systems Methods for zeta potential determination

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

EE410 vs. Advanced CMOS Structures

The Removal of Nanoparticles from Nanotrenches Using Megasonics

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES

Diodes for Power Electronic Applications

Chapter 8 Ion Implantation

Advanced Technique for Dielectric Analyses

1.2 kv 16 mω 1.8 mj. Package. Symbol Parameter Value Unit Test Conditions Notes 117 V GS = 20V, T C

Preliminary measurements of charge collection and DLTS analysis of p + /n junction SiC detectors and simulations of Schottky diodes

Experimental and theoretical study of ultra-thin oxides

Wafer-scale fabrication of graphene

Effect of Particle Size on Thermal Conductivity and Viscosity of Magnetite Nanofluids

RC Circuits (32.9) Neil Alberding (SFU Physics) Physics 121: Optics, Electricity & Magnetism Spring / 1

Sample Alignment (2D detector) Part

Introduction to Dynamic Light Scattering for Particle Size Determination

Transcription:

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS Slurry Loop Shear Flow Agglomeration Inter-particle Force Aniruddh J. Khanna, Rajiv K. Singh Materials Science & Engineering, University of Florida Sinmat Inc

Overview: Sinmat Inc. University of Florida Spin-off. Developing planarization technologies the semiconductor industry Winner of four R&D 100 Awards 2004 & 2005, 2008, 2009 Employees: 25 Approx 50 % revenue from commercial products Developing several CMP centric technologies LEDs; Power/RF devices; Ultra large wafer polishing President Obama congratulates Sinmat at White House for transforming R&D into clean energy jobs (March 2009) 2

Sinmat s Core Business Sinmat s Core Competency Develop A High Quality, Affordable Supply Of Innovative Surface Preparation- Promoting Products To Serve A Variety Of Markets Chip Mfg Markets Intel Samsung Texas Instrument Toshiba Power Device Markets Cree RF Micro Raytheon Rohm High Quality, Affordable Supply of Innovative Engineered Surface Promoting Products Solar Device Mfg Markets First Solar Unisolar Helio Volt Solo Power LED Markets Cree Philips Osram Nichia Kyocera

Surface Finish of SiC/GaN Polishing rate 10 x to 50 X higher High Performance at Reduced Costs Sinmat is the currently the largest global supplier of WBG CMP slurries (SiC and GaN) Recently Introduced Sapphire Slurries (Substrate market > 1.5 B in 5 years)

Engineered Patterned Sapphire Substrates ( 150 mm) Microlens on Sapphire and Substrates Light Extraction Enhancement (Simulation)

6 inch Patterned Sapphire Substrates (PSS) 6 inch PSS substrates using the CMP process Improved epi growth Low Cost Enhanced light extraction Singh et. al US patent application 61/185,476 (2010) 6

Outline Method to quantify stress response of CMP slurries Engineering of Slurry Delivery System Measurement of stability ratio for determining slurry stability Better Quality Control Over Incoming Slurries Characterization of the nature of agglomerates in CMP slurries Defectivity from Different Slurries Conclusions

Defectivity Due to Oversize Particles (> 0.5 μm) Defects during CMP Killer defects, Arc scratches, Voids or pits, Embedded particle F. Chi. et al. Externally Induced agglomeration during CMP of metals and dielectrics Typical problems due to defects Electrical short circuit (defects in metal interconnects) Increase in leakage current Unpredictable dielectric breakdown Critical for Low-K Dielectrics More susceptible to damage from oversize particles Oversize particles are prime contributors of defectivity during CMP Need to reduce formation of oversize particles

Sources of Oversize Particles (I) External Forces External Shear Stress Pumps used for slurry delivery Slurry Loop Shear Flow Agglomeration Commercial Slurry, Primary Particle Size ~ 35 nm > 0.5 μm Inter-particle Force ~ 35 nm Magnetically Levitated Centrifugal Pumps reduce over-size particles Chang et al., J. ECS, vol 156, pp H39-H42, 2009 Need to quantify stress response of CMP slurries for engineering slurry delivery systems

Types of Oversize Particles Soft Agglomerates Large particles that can be broken down to smaller agglomerates or particles by Light mechanical forces or Hydrodynamic stress Hard Agglomerates Large coarse particles Cannot be broken down to small size particles Closely held by strong attractive forces Strong shear forces cannot break these gritty lumps Shear Forces Hard agglomerates lead to defectivity during CMP Need to characterize nature of agglomerates to understand defectivity during CMP resulting from stressed slurries

Measurement of Oversize Particles Single Particle Optical Sensing (SPOS) System (Accusizer 780) Measures number of particles in size 0.5 200 μm Physics: Light scattering (< 1.3 μm) and Refraction (> 1.3 μm) Voltage signal pulse is proportional to particle diameter Cannot predict stress response of slurries and formation of resulting oversize particles SPOS unable to predict defectivity due to stress induced in slurry PSS Nicomp, Accusizer Manual Light Extinction Light Scattering Need for better metrology tool for quality control over incoming slurries

Objectives 1. Quantify stress response of CMP slurries for engineering of slurry delivery system 2. Develop metrology tool for better quality control over incoming slurries 3. Identify conditions for formation of soft and hard agglomerates

Experimental Procedure Rheometer Viscosity f (Shear Rate) Paar Physica UDS 200 rheometer: Temperature Control Shear Rates up to 5000 s -1 STEP 1 Measure cumulative concentration of as-received slurry (C ar ) STEP 2 Subject as-received slurry to different shear rates Shear Rates 100 3000 s -1 Time 100-2500 s As-Received Slurry Silco EM-3530K Colloidal Silica 35 nm 10-wt% solids ph 2, 7 and 11 STEP 3 Measure cumulative concentration of stressed slurry (C s )

Cumulative Concentration (# of particles/ml) Cumulative Concentration (# of particles/ml) Data Analysis Normalized Concentration = Cumulative Conc. of Stressed Slurry (C s ) (C s /C ar ) Cumulative Conc. of As-Received Slurry (C ar ) De-Agglomeration Agglomeration 8000 De-Agglomeration 20000 Agglomeration 16000 6000 As-R Slurry De-Agglomeration 12000 Stressed Slurry 4000 2000 As-R Slurry Stressed Slurry 8000 4000 Agglomeration 0 0 1 2 3 4 0 0 1 2 3 4 Particle Size (micron) Particle Size (micron) Normalized Concentration < 1 Normalized Concentration > 1

Normalized Concentration Normalized Concentration Normalized Concentration 1 Shear Rate: 100 (1/s) Results 2 Shear Rate: 1500 (1/s) 1.5 0.5 0 0 2 4 Particle Size (micron) 100 sec 1000sec 2500sec 1 0.5 0 0 1 2 3 4 Particle Size (micron) 100 second 1000 second 2500 second 3 Shear Rates: 2000-3000 (1/s) Time: 2500 s 3000 (1/s) 2000 (1/s) Camp Number (Low Shear Rate) x (Higher time) = (High Shear Rate) x (Lower time) 2 Basic ph Silica Slurry 1 De-Agglomeration Transition Region 0 0 1 2 3 4 Particle Size (micron) Shear Rate: 100 1000 s -1 Time: 100 2500 s Agglomeration Shear Rate: 2000 3000 s -1 Time: 100 2500 s De- Agglomeration 1500 s- 1 for < 1000 s Agglomeration 1000 2500 s

Shear Rate (1/s) Mean value of Normalized Concentration Basic Silica Slurry (ph ~11, 35 nm, 10wt% solids) 3000 2000 1500 1000 100 Agglomeration Threshold Shear Rate Transition Regime De-Agglomeration 0 0.5 1 1.5 2 2.5 Mean Normalized Concentration 2500 s 1000 s 100 s Transition Region De-agglomeration for smaller duration (up to 1000 s) Agglomeration for longer duration (1000 s and above) Threshold Shear Rate Shear Rate for which agglomeration occurs for any duration Stress Response of slurry: De-Agglomeration, Transition Region, Agglomeration Threshold shear rate for basic silica slurry is 2000 s -1

Shear Rate (1/s) Mean value of Normalized Concentration Acidic Silica Slurry (ph ~2, 35 nm, 10wt% solids) 3000 2000 1500 Agglomeration 2500 s 1000 s 100 s 1000 100 Threshold Shear Rate Transition Region De-Agglomeration 0 1 2 3 4 5 Mean Normalized Concentration De-Agglomeration Shear Rate: 100 500 s -1 Time: 100 2500 s Transition Region 700 s -1 De- Agglomeration for < 1000 s Agglomeration 1000 2500 s Agglomeration Shear Rate: 1000 3000 s -1 Time: 100 2500 s Threshold shear rate for acidic silica slurry is 1000 s -1

Shear Rate (1/s) Behavior of Different Silica Slurries Agglomeration 3000 2500 De-Agglomeration 2000 1500 1000 500 0 Basic ph Neutral ph Acidic ph Select operating shear rate in De-Agglomeration region for engineering slurry delivery system Provides control over formation of oversize particles in these slurries Reduces concentration of existing oversize particles Quantified stress response of slurry aids in engineering of slurry delivery system

Part II Measurement of stability ratio for determining CMP slurry stability Better metrology quality control over incoming slurries

Defectivity Defects during CMP Killer defects, Arc scratches, Voids or pits, Embedded particle F. Chi. et al. Externally Induced agglomeration during CMP of metals and dielectrics Typical problems due to defects Electrical short circuit (defects in metal interconnects) Increase in leakage current Unpredictable dielectric breakdown Critical for Low-K Dielectrics More susceptible to damage from oversize particles Oversize particles are prime contributors of defectivity during CMP Need to reduce formation of oversize particles

Modeling using Smoluchowski s Theory From Smoluchowski s Theory Number of k-fold aggregates N k N k = N 0 (t/τ) k-1 τ = 3 k: 0.5 4 μm Variables: Initial particle concentration (N 0 ) Aggregation time (t), Half life τ Particle radius (R ij ) Shear Rate G (1+t/τ) k+1 4 (G/W)N 0 R ij 3 Stability Ratio W Particle Size a Slurry chemistry d Temperature T Calculation of N k gives N 0.5, N 0.75.N 4.0 N k / Total Particle Concentration Modeled Tail Distribution Stability Ratio W is the curve fitting parameter for experimental and modeled tail distribution

Stability Ratio Stability Ratio W Previous Work AI= log (G/W) where, V total - total interaction energy T - temperature (kept constant) u = d/a d inter-particle distance, a - particle Stability Ratio W depends on slurry properties only where, AI Agglomeration index G Shear Rate (1/s) W Stability Ratio Pumps were used to calculate AI Shear rate of pump was unknown (G/W) - fitting parameter Different pumps Diff. AI Need of a parameter that defines slurry stability independent of shear rate

Determination of Stability Ratio STEP 1 Measure cumulative concentration of as-received and stressed slurry STEP 2 Calculate : Part Conc. Total Particle Conc. STEP 3 Model final tail distribution by solving Smoluchowski s Theory STEP 4 Curve fitting of modeled and experimental tail distribution As-Received Slurry Silco EM-3530K Colloidal Silica 35 nm 10-wt% solids ph 2, 7 and 11 Shear Rates 1500 3000 s -1 Part Conc. Number of particles of different sizes from 0.5 200 μm

Part Conc./Total Conc. Agglomeration Index Agglomeration Index of Different Slurries at 3000 s -1 1.00E-11 1.00E-12 1.00E-13 1.00E-14 1.00E-15 Curve Fitting to obtain A.I. 0 1 2 3 4 Particle Size (micron) Experimental Nk/N0 Simulation Nk/N0 4 3.5 3 2.5 2 1.5 1 0.5 0 Agglomeration Index for Different Slurries Defectivity A B C D A B C D Slurry Type Silica: ph 10, 35nm Silica: ph 7, 35nm Silica: ph 2, 35nm Silica: ph 2 + 0.1M KCl, 35nm Assigned Name A B C D AI= log (G/W) Agglomeration index gives relative slurry stability

AI Stability Ratio vs. Agglomeration Index at Different Shear Rates Stability Ratio Agglomeration Index (AI) vs. Shear Rate 4.00 3.50 3.00 2.50 2.00 1.50 1000 1500 2000 2500 3000 3500 Shear Rate (1/s) A B C D Stability Ratio vs. Shear Rate (1/s) 20 15 10 5 0 1000 1500 2000 2500 3000 3500 Shear Rate (1/s) A B C D Agglomeration index changes with shear rate Stability ratio is independent of shear rate and a better parameter than agglomeration index

Stability Ratio Relating Stability Ratio (SR) to CMP Performance Basic ph Silica Slurry (A) Acidic ph Silica Slurry (C) CMP of Cu Wafer SR: 3.64 SR: 16.53 Stability Ratio W for Slurries 20 15 10 5 Defectivity SLURRY STABILITY F.C. Chang and R. Singh, Electrochem Solid-State Letter, 12, 2009 0 A A1 B B1 C C1 E Stability ratio predicts relative slurry stability in agreement with earlier work with slurries under identical conditions

Stability Ratio of Different Slurries Slurry Type W of tested silica slurries is 1.26-16.53 Stressed silica slurry with ph 7 and 2, with and without salt caused defects during CMP Stability Ratio decreases for these slurries Stability Ratio Silica: ph 11, 35nm A 16.53 Silica: ph 11 + 0.1M KCl, 35nm A1 10.8 Silica: ph 7, 35nm B 7.61 Silica: ph 7 + 0.1M KCl, 35nm B1 4.65 Silica: ph 2, 35nm C 3.64 Silica: ph 2 + 0.1M KCl, 35nm C1 1.42 Silica: ph 10, 135nm E 1.26 Stability Ratio decreases with increase in tendency of slurry to cause defectivity

Summary of Part II Stability Ratio (SR) Better parameter to define slurry stability Independent of Shear Rate Predicts tendency of slurry Metrology to cause defectivity Tool Stress Response of Slurries Quality Control Oversize Particle Measurements Better Quality Control aids in reducing defectivity, better process control and enhanced uniformity

Types of Oversize Particles Soft Agglomerates Large particles that can be broken down to smaller agglomerates or particles by Light mechanical forces or Hydrodynamic stress Hard Agglomerates Large coarse particles Cannot be broken down to small size particles Closely held by strong attractive forces Strong shear forces cannot break these gritty lumps Shear Forces Hard agglomerates lead to defectivity during CMP Need to characterize nature of agglomerates to understand defectivity during CMP resulting from stressed slurries

Experimental Procedure (Part III) STEP 1 STEP 2 Agglomerate the slurry i.e. As-Received Stressed Slurry De-agglomerate the stressed slurry Low Shear Rates 100 1000 s -1 As-Received Slurry Silco s EM-3530K Colloidal Silica 35 nm 10-wt% solids ph 2, 7 and 11 Stressed Slurry STEP 3 Compare De-agglomeration in Stressed with As-Received slurry Subject As-Received Slurry to: 3000 s -1 for 1000 s

Normalized Concentration Percentage De-Agglomeration Normalized Concentration 100 75 Comparison of De-agglomeration in Stressed & As-Received Slurry De-Agglomeration As-Received Slurry Stressed Slurry 1.2 0.8 0.4 Basic ph Car,100-1000/Car Cs,100-1000/Cs 50 25 0 0 1 2 3 4 Particle Size (micron) 0 ph2 ph7 ph 11 Shear Rate: 100 s -1, Time: 1000 s Basic ph: De-agglomeration in Stressed slurry > As-received slurry Neutral & Acidic ph: De-agglomeration in Stressed slurry < As-received slurry 1.2 0.8 0.4 0 Acidic ph Car,100-1000/Cr Cs,100-1000/Cs 0 1 2 3 4 Particle Size (micron) Soft agglomerates - Basic silica slurry Hard agglomerates Neutral and Acidic silica slurry

RMS (nm) Rmax (nm) Relating Nature of Agglomerates to CMP Performance Acidic ph Hardest Agglomerates Neutral ph Hard Agglomerates Basic ph Soft Agglomerates (a) (b) (c) F.C. Chang and R. Singh, Electrochem. Solid-State Letter, 12, 2009 2 1.5 1 0.5 0 Low-k CMP RMS (nm) RMS (nm) Acidic ph Neutral ph Basic ph 25 20 15 10 5 0 Low-k CMP Rmax (nm) Rmax (nm) Acidic ph Neutral ph Basic ph CMP of Low-k BD1 Wafers Presence of hard agglomerates in stressed slurries leads to defectivity

Summary of Part III Soft Agglomerates Hard Present in stressed silica slurry for basic conditions Explains why oversize particles in basic ph slurries did not cause defectivity Present in stressed silica slurry for neutral and acidic conditions Explains why oversize particles in neutral and acidic ph slurries cause defectivity A method was developed to characterize nature of agglomerates in CMP slurries

Quantified stress response of CMP slurries Depends on slurry composition (ph, ionic strength, etc.) External shear force Engineering of slurry delivery system Reduction in formation of oversize particles Conclusions Reduced Defectivity Better Process Control Uniformity Better metrology tool for quality control over incoming slurries Combines stress response and oversize measurement Predicts slurry stability accurately, independent of shear rate Ability to predict defectivity that will result from slurries Identified conditions for formation of hard and soft agglomerates Hard agglomerates related to defectivity Stricter requirements for monitoring oversize concentration in certain slurries