Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Similar documents
EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

ETCHING Chapter 10. Mask. Photoresist

Profile simulation of gas chopping based etching processes

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Section 3: Etching. Jaeger Chapter 2 Reader


Regents of the University of California

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Reactive Ion Etching (RIE)

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Wet and Dry Etching. Theory

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Chapter 7 Plasma Basic

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Etching: Basic Terminology

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Chapter 7. Plasma Basics

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

DQN Positive Photoresist

Etching Capabilities at Harvard CNS. March 2008

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Chapter 6. Summary and Conclusions

Modeling of MEMS Fabrication Processes

EE-612: Lecture 22: CMOS Process Steps

Plasma atomic layer etching using conventional plasma equipment

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Taurus-Topography. Topography Modeling for IC Technology

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Device Fabrication: Etch

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Generation and loss of reactive oxygen species in low-temperature atmospheric-pressure RF He + O2 + H2O plasmas

LECTURE 5 SUMMARY OF KEY IDEAS

Tilted ion implantation as a cost-efficient sublithographic

Plasma Deposition (Overview) Lecture 1

CHAPTER 6: Etching. Chapter 6 1

Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

First order sensitivity analysis of electron acceleration in dual grating type dielectric laser accelerator structures

Lithography and Etching

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

Evaluation of the plasmaless gaseous etching process

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

CVD: General considerations.

Secondary Ion Mass Spectroscopy (SIMS)

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

1. Narrative Overview Questions

Introduction to Plasma Etching

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Henri Jansen, Meint de Boer, Rob Legtenberg and Miko Elwenspoek

Thermocouples calibration and analysis of the influence of the length of the sensor coating

Impact of numerical method on auto-ignition in a temporally evolving mixing layer at various initial conditions

FRAUNHOFER IISB STRUCTURE SIMULATION

EE C245 ME C218 Introduction to MEMS Design

Patterning Challenges and Opportunities: Etch and Film

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

EE C245 ME C218 Introduction to MEMS Design Fall 2010

University of California, Santa Barbara Santa Barbara, California 93106

Defense Technical Information Center Compilation Part Notice

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Progress in detailed modelling of low foot and high foot implosion experiments on the National Ignition Facility

The Plasma Simulation System Brochure.

Gas utilization in remote plasma cleaning and stripping applications

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Simulation of the cathode surface damages in a HOPFED during ion bombardment

Anomalous production of gaseous 4 He at the inside of DScathode during D 2 O-electrolysis

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

Ignition Regime and Burn Dynamics of D T-Seeded D 3 He Fuel for Fast Ignition Inertial Confinement Fusion

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Transcription:

Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030 View the article online for updates and enhancements. Related content - Simulation of the Bosch process with a string cell hybrid method Rongchun Zhou, Haixia Zhang, Yilong Hao et al. - Plasma cryogenic etching of silicon: from the early days to today's advanced technologies R Dussart, T Tillocher, P Lefaucheux et al. - Cyclic deep reactive ion etching with mask replenishment T N Adam, S Kim, P-C Lv et al. Recent citations - Investigation of feature orientation and consequences of ion tilting during plasma etching with a three-dimensional feature profile simulator Yiting Zhang et al - Feature profile simulation of silicon nitride atomic layer deposition Paul Moroz and Daniel J. Moroz This content was downloaded from IP address 37.44.204.79 on 01/02/2018 at 08:43

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching P Moroz 1 and D J Moroz 2 1 Technology Development Center, Tokyo Electron U.S. Holdings Inc, Billerica, MA 01821, USA 2 Department of Computer Science, University of Pennsylvania, Philadelphia, PA 19104, USA E-mail: paul.moroz@us.tel.com Abstract. We present a simulation of the Bosch process using the feature-scale modeling software FPS3D. FPS3D is a generic simulator that can be applied to any set of materials, plasmas, reactive gases, and reactions for both 2D and 3D simulations of etching and deposition. FPS3D can simulate multi-time-step processes for which the fluxes, species, reactions, ion energies, angular distributions, and other parameters can change with each time-step; it is thus well-suited for Bosch process simulations. The polymer deposition and etching time-steps of the Bosch process are modeled and discussed in more detail than was previously attainable. 1. Introduction Numerical simulations for plasma materials processing have become more important as materials and chemistries have increased in complexity and process requirements have become more stringent. Multi-step processing has often been adopted both for IC fabrication and for micromachining in cases for which deep etching was required. The two most well-known high-etch-rate techniques for deep silicon etching are cryogenic etching (see, for example, [1 4]) which requires temperatures to be in the range of -110 C during etching, and the so-called Bosch etching [5 8]. Bosch etching requires high density inductively coupled plasmas and uses alternating steps of isotropic etching by SF 6 and wall passivation (polymer deposition) by c-c 4 F 8 to obtain vertical profiles. Many other schemes for efficient deep silicon etching were proposed and investigated. These sometimes used gases other than the usual SF 6 and c-c 4 F 8, or used mixtures of gases, and did not necessarily require high density inductively coupled plasmas (see, for example, [9 15] and references there). A similar technique to that used in Bosch processing is sometimes called gas chopping [9 12] or time multiplexed deep etching [6, 8, 13, 15]. The goal of Bosch and other deep-etch processes is to provide high-speed etching (in the range of 1000 Å/s, and higher) and to obtain deep profiles (up to 100s of microns). These high etch rates require high fluxes of active radicals to the wafer, which in turn require high RF power, high pumping speed, and high gas flows. Using fluorine chemistry is advantageous as fluorine etches silicon at a high rate. Sulphur hexafluoride, SF 6, is commonly used for this purpose as it is largely comprised of fluorine, F. In plasma, SF 6 breaks into the highly reactive radicals F and SF x and into the ions SF + x, where x is an integer 1,2, etc. These ions provide energy to break through the bottom of the polymer Content from this work may be used under the terms of the Creative Commons Attribution 3.0 licence. Any further distribution of this work must maintain attribution to the author(s) and the title of the work, journal citation and DOI. Published under licence by Ltd 1

layer and to etch anisotropically in the vertical direction. Ion energy is also needed to accelerate etching of Si by F and by SF x radicals. Fluorine and other flourine-rich radicals etch Si isotropically. To ensure unidirectional vertical etching, the passivation or protection of sidewalls is needed. In the Bosch process, this is accomplished by alternating the etching step with the polymer deposition step. Separating these two steps allows each to be done optimally. The deposition step often uses octofluorocyclobutane, c-c 4 F 8, chemistry to protect the sidewalls with the polymer. In plasma, c-c 4 F 8 gas breaks into a wide spectrum of radicals and ions. The plasma then contains such fluorocarbon radicals as CF, CF 2, CF 3, and C 2 F 4 which actively deposit on the sidewalls forming a Teflon-type polymer. The polymer is stable at temperatures below about 50 C but disintegrates at higher temperatures. Since energy delivered by fluxes of energetic species from the plasma heats the substrate and the etching reactions are exothermic, the substrate requires active cooling. If the polymer layer on the sidewalls is not thick enough by the beginning of the etching time-step, it cannot prevent lateral etching of Si. The Bosch process, if operated at conditions in which the etch rate is maximally high, leaves significant roughness and apparent scalloping on the sidewalls. Shortening the deposition and etching time-steps improves that negative phenomenon but at the cost of a reduced overall etch rate. Further smoothing of the sidewalls requires a change of chemistry (see, for example, [11] in which smooth sidewalls were obtained by using CHF 3 gas instead of SF 6 ). For typical reactive ion etching (RIE) processes, the vertical anisotropy of obtained profiles depends on the ion energy level. The higher the ion energy, the higher the aspect ratio and degree of vertical anisotropy that can be obtained. The Bosch process also requires energetic ions in order to anisotropically break the polymer layer at the bottom and to provide energy which significantly increases the etch rate there. However, the Bosch process primarily relies on sidewall protection to ensure vertical profiles and the excessive ion energy may damage the profiles. Thus, reliable control of ion fluxes and ion energy is important for deep etch processing. The Bosch process operates in regimes in which ion fluxes are significantly lower than the fluxes of active radicals. The etch rate of the Bosch process depends on the aspect ratios of etched features (aspect ratio dependent etch, ARDE). Sidewall reactions play a significant role in reducing radical fluxes coming to the bottom of the etched features [16]. This causes gradual reduction of etch rates at the bottom as the aspect ratios of etched features grow with time. This effect becomes strong at high aspect ratios and could lead in some cases to etch stop. 2. Surface Reactions Setting the reactions between incoming species and solid materials is likely the most difficult part of simulating the Bosch process. Plasma experiments often do not provide sufficient information on the probabilities or yields of reactions for particular species, especially for ions for which reaction rates also depend on energy and angle of incidence. Multiple radicals and ions come to the surface simultaneously during a typical plasma experiment which makes it difficult to distinguish between reactions of different species and determine the reaction coefficients for each particular species. Specially designed beam experiments could help resolve that uncertainty because the species, energy, and angle of incidence could be selected and accurately controlled. Our goal here is to demonstrate the capabilities of the feature-scale simulator FPS3D, which allow it to simulate such complex processes as Bosch etching or similar deep Si processing with alternating time-steps. There are a number of publications which provide specific information on particular experiments which use the same chemistry as does the Bosch process; they suggest a set of main reactions (see, for example, [17, 18]). We have used some of this data but only to put the reaction parameters in the right range. Proper analysis of the Bosch process and the determination of a complete set of reactions and main reaction pathways with determined 2

reaction rates is a difficult task that, to our knowledge, has not yet been accomplished. We did not try here to build such a set of reactions by fitting experimental data. Instead, we have used a simplified set of reactions, sufficient to capture the main phenomena of the Bosch process. During the deposition time-step, we consider only the polymer depositing species, and select the primary CF 2 to represent all others such as CF, CF 2, CF 3 and C 2 F 4. During the etching step, the SF 6 plasma produces many radicals and ions. We consider reactions with the main etching species, F radicals, to represent all reactions with radicals, and consider reactions with CF + 3 to represent all reactions with ions. A simplified set of reactions between gaseous species and solid materials was built. It includes reactions of neutral species, ions and implants with solid materials. Reactions with neutrals and implants have temperature dependencies, while reactions with ions have energy and angular dependencies. Solid species are denoted by a concluding (s), implants are denoted by a concluding (i), and gaseous species have no concluding symbol. The polymer is presented as Poly. Species with a concluding E represent molecules with partially broken bonds due to interaction with energetic ions. These are typically more likely to react with gases than are the non-energized species. The following reactions of neutrals with solids were considered: Si(s) + CF 2 Si(s) + Poly(s) SiF 2 (s) + CF 2 SiF 2 (s) + Poly(s) Poly(s) + CF 2 2 Poly(s) Mask(s) + CF 2 Mask(s) + Poly(s) Si(s) + 2 F SiF 2 (s) SiF 2 (s) + 2 F SiF 4 Poly(s) + 2 F CF 4 Mask(s) + F Mask + F SiE(s) + CF 2 Si(s) + Poly(s) SiF 2 E(s) + CF 2 SiF 2 (s) + Poly(s) PolyE(s) + CF 2 2 Poly(s) MaskE(s) + CF 2 Mask(s) + Poly(s) SiE(s) + 2 F SiF 2 (s) SiF 2 E(s) + 2 F SiF 4 PolyE(s) + 2 F CF 4 MaskE(s) + F Mask + F 3

The following reactions of ions with solids were taken into account: Si(s) + SF 3 + SiE(s) + S(i) + 3 F(i) Poly(s) + SF 3 + PolyE(s) + S(i) + 3 F(i) SiF 2 (s) + SF 3 + SiF 2 E(s) + S(i) + 3 F(i) Mask(s) + SF 3 + MaskE(s) + S(i) + 3 F(i) SiE(s) + SF 3 + Si + S(i) + 3 F(i) PolyE(s) + SF 3 + CF 2 + S(i) + 3 F(i) SiF 2 E(s) + SF 3 + Si + 2 F + S(i) + 3 F(i) MaskE(s) + SF 3 + Mask + S(i) + 3 F(i) While the S(i) implant was considered non-reactive, the following reactions for the F(i) implant were considered: Si(s) + 4 F(i) SiF 4 SiF 2 (s) + 2 F(i) SiF 4 Poly(s) + 2 F(i) CF 4 Mask(s) + F(i) Mask + F SiE(s) + 4 F(i) SiF 4 SiF 2 E(s) + 2 F(i) SiF 4 PolyE(s) + 2 F(i) CF 4 MaskE(s) + F(i) Mask + F This set of reactions is significantly simplified compared to what we believe actually occurs, but it is enough to capture the main characteristics of Bosch processing. In FPS3D, if a reaction between a gaseous species and a solid species is not listed, the default is a reflection of the gaseous species. 3. Incoming Fluxes The FPS3D simulator requires fluxes for all incoming reactive species to be set. The Bosch process typically utilizes high plasma density resulting in high fluxes of radicals, while the ion fluxes are limited to a small fraction of the radical fluxes. In simulations presented in this article, the following parameters were used. During the polymer deposition time-step, the flux of the main polymer depositing species, CF 2, was set to be 3 10 18 cm 2 s 1 for a duration of 4s. An intermediate transitional time-step of 1s was set, during which the fluxes gradually changed from the deposition regime to the etching regime. For the etching time-step, the fluxes of the main species, F radicals and SF + 3 ions, were set at the levels of 1.2 10 19 and 1.8 10 16 cm 2 s 1, respectively. After the etching step, an intermediate transitional time-step was set again during which the fluxes changed back to the polymer deposition regime. These four time-steps represent a single cycle. During Bosch processing, this cycle of fluxes is repeated many times (demonstrated in Figure 1). 4. The FPS3D Feature Scale Simulator Our goal is to numerically model the Bosch process using the feature-scale simulator FPS3D [19, 20]. Bosch processing is challenging to simulate because it includes both etching and 4

Figure 1. Time variation of species fluxes. The cycle duration is 10s. deposition. Additional complications appear because the fluxes of species are large and change frequently, and because silicon and polymer have highly disparate densities (meaning that the approximation that all full cells contains the same number of molecules cannot be used). Large fluxes can pose complications to Monte Carlo simulation software if it includes the assumption that each gas particle contains a single molecule, but that assumption is not used in FPS3D. In FPS3D, all solid materials are represented via rectangular cells of equal dimensions, and the code presents all incoming fluxes of species as Monte Carlo particles. The number of molecules in a full cell depends on the density of the corresponding materials. Each particle and each cell can include any number of molecules, ranging from one to an arbitrarily large number. When possible, to improve statistics and reduce artificial numerical roughness of profiles, the number of molecules in a particle is chosen to be significantly less than that in a cell. FPS3D allows consideration of the large fluxes and large feature dimensions of typical Bosch processing, both in 2D and 3D. 5. Results of Simulations The incident fluxes of reactive species to the wafer change over time in cycles, as shown in Figure 1. The CF 2 flux strikes the wafer at a constant level during the deposition time-step of 4s, and the F radical and SF + 3 ion fluxes strike at constant levels during the etching time-step of 4s. These two main steps overlap during the intermediate step of 1s, in which the fluxes gradually change one into the other. This approach allows simulation of the realistic condition of gas chopping. It is impossible to change fluxes instantaneously, although researchers strive to make the corresponding gas changes as quick as possible. Note: the following images are taken from the FPS3D software, which uses a concluding carat symbol (ˆ) to represent an ion, a concluding underscore (_) symbol to represent a solid material, uses A/s to mean Angstroms per second, and does not use subscripts in chemical notation. 5

Figure 2. The first etching step. Si is removed isotropically. Figure 3. The following polymer deposition step. During the etching time-step, fluorine removes silicon mostly isotropically, as shown in Figure 2 for the first etching time-step. The following deposition time-step results in the polymer deposited everywhere on Si and on mask, as shown in Figure 3. Figure 4 and Figure 5 show the deposition step and the following etching step after a few cycles have finished. Strong roughness (scalloping) has developed along the sidewalls, corresponding to the number of cycles passed. Etching during a single cycle is significant, but the etch rate gradually decreases when the trench becomes deeper. FPS3D allows the user to record parameters at any number of selected locations. We selected three x-locations of 0.7, 1, and 1.3 microns to record such parameters as the height (6), the etch rate (Figure 7 instantaneous etch rate, Figure 8 average etch rate) and etch yield (Figure 9 instantaneous etch yield, Figure 10 average etch yield). Etch yield shows the number of Si atoms removed per single ion. The height changes in a step-like fashion in Figure 6, corresponding to the cycles. The height increases slightly during the deposition time-step due to polymer deposition but then quickly decreases during the etching time-step due to removal of polymer and Si. The instantaneous etch rate and instantaneous etch yield in Figure 7 and Figure 9 are slightly negative during the polymer deposition time-steps but then are strongly positive during the etching time-steps. The instantaneous etch rate initially reaches about 1000 Å/s but then gradually decreases to about 500 Å/s, after 100s of etching. Similar results were obtained during 3D simulations for the case of etching trenches. Sample 3D profiles are shown in Figure 11 and Figure 12 for the deposition time-step during the second cycle and for the following etching time-step, respectively. The cell size was chosen to be larger than that in the 2D simulations to accelerate calculations. The results of simulations for more complex 3D structures, such as high aspect ratio vias (not 6

Figure 4. The polymer deposition step. Figure 5. The following etching step. Figure 6. Height change over time. 7

Figure 7. Instantaneous etch rate. Figure 8. Average etch rate. Figure 9. Instantaneous etch yield. Figure 10. Average etch yield. presented here), show significant differences between 2D and 3D calculations the etch rate is always lower in 3D calculations than it is in 2D. For these cases, 2D calculations cannot properly capture the flow limitations and the magnitude of wall interactions, thus 3D calculations should be used. 6. Discussion and Conclusion We have presented simulation results for Bosch-type deep Si etching. Bosch processing is designed for high etch-rate etching and uses alternating time-steps of etching and polymer deposition in cycles. In our simulations, the duration of one cycle was chosen to be 10s, with the deposition and etching steps each 4s long, and with two intermediate time-steps simulating gas switching between etching and deposition, each having the duration of 1s. We have used the advanced feature-scale simulator FPS3D which is designed to simulate etching and deposition during materials processing. The simulator can consider any set of materials, any set of incoming fluxes of species, and any set of reactions happening at the 8

Figure 11. Polymer deposition step. Figure 12. The following etching step. 9

material surfaces. In this article, we used a simplified set of reactions which was nevertheless capable of capturing the main characteristics of the Bosch process. The deposition and etching time-steps were simulated with details not previously attainable. Acknowledgements The authors are thankful to many people at Tokyo Electron Inc. and Tokyo Electron U.S. Holdings for their interest, support, and advice. Special thanks go to Dr. Masaaki Matsukuma of Japan s Technology Development Center for valuable discussions. References [1] Aachboun S, Ranson P 1999 J. Vac. Sci. Technol. A 17 2270 [2] Aachboun S, Ranson P, Hilbert C, Boufnichel M 2000 J. Vac. Sci. Technol. A 18 1848 [3] Mellhaoui X Dussart R, Tillocher T, Lefaucheux P, Ranson P, Boufnichel M, Overzet L J 2005 J. Appl. Phys. 98 104901 [4] Kamto A, Divan R, Sumant A V, Burkett S L 2010 J. Vac. Sci. Technol. A 28 719 [5] Labelle C B, Donnelly V M, Bogart G R, Opila R L, Komblit A 2004 J. Vac. Sci. Technol. A 22 2500 [6] Blauw M A, Zijlstra T, van der Drift E 2001 J. Vac. Sci. Technol. B 19 2930 [7] Kokkoris G., Panagiotopoulos A, Goodyear A, Cooke M, Gogolides E 2009 J. Phys. D: Appl. Phys. 42 055209 [8] Ayon A A, Braff R, Lin C C, Sawin H H, Schmidt M A 1999 J. Electrochem. Soc. 146 339 [9] Rangelow I W 1990 Proc. SPIE 1392 180 [10] Rangelow I W, Biehl St 2001 J. Vac. Sci. Technol. B 19 916 [11] Rangelow I W 2003 J. Vac. Sci. Technol. A 21 1550 [12] Volland B, Shi F, Hudek P, Heerlein H, Rangelow I W 1999 J. Vac. Sci. Technol. B 17 2768 [13] Blauw M A, Craciun G, Sloof W G, French P J, van der Drift E 2002 J. Vac. Sci. Technol. B 20 3106 [14] Sammak A, Azimi S, Izadi N, Hosseinieh B K, Mohajerzadeh S 2007 J. Microelectromech. Syst. 16 912 [15] Azimi S, Mehran M, Amini A, Vali A, Mohajerzadeh S, Fathipour M 2010 J. Vac. Sci. Technol. B 28 1125 [16] Kiihamaki J 2000 J. Vac. Sci. Technol. A 18 1385 [17] Rauf S, Dauksher W J, Clemens S B, Smith K H 2002 J. Vac. Sci. Technol. A 20 1177 [18] Kokkoris G, Tserepi A, Boudouvis A G, Gogolides E 2004 J. Vac. Sci. Technol. A 22 1896 [19] Moroz P 2011 IEEE Trans. on Plasma Science 39 2804 [20] Moroz P, Moroz D J 2013 ECS Transactions 50 61 10