Modeling of MEMS Fabrication Processes

Similar documents
Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Outline: droplet-dispensed NIL simulation

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

process dependencies in nanoimprint

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Regents of the University of California

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

ETCHING Chapter 10. Mask. Photoresist

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Wet and Dry Etching. Theory

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

EE C245 ME C218 Introduction to MEMS Design Fall 2007

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

NSC E

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Reactive Ion Etching (RIE)

DESIGN OF A NANO-GAS TURBINE

Proceedings MEMS Inertial Switch for Military Applications

SUPPLEMENTARY FIGURES

EE C245 ME C218 Introduction to MEMS Design

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

Lecture 18: Microfluidic MEMS, Applications

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS

Etching Capabilities at Harvard CNS. March 2008

Micro/nano and precision manufacturing technologies and applications

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu*

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Effect of embedment depth and stress anisotropy on expansion and contraction of cylindrical cavities

Chapter 3 Engineering Science for Microsystems Design and Fabrication

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS

Prediction of geometric dimensions for cold forgings using the finite element method

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis


Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Back Calculation of Rock Mass Modulus using Finite Element Code (COMSOL)

Section 3: Etching. Jaeger Chapter 2 Reader

C.J. Bennett, W. Sun Department of Mechanical, Materials and Manufacturing Engineering, University of Nottingham, Nottingham NG7 2RD, UK

A study of forming pressure in the tube-hydroforming process

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Mechanics of wafer bonding: Effect of clamping

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané

Control of Manufacturing Process

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Feature-level Compensation & Control

CVD: General considerations.

Taurus-Topography. Topography Modeling for IC Technology

Effect of Strain Hardening on Unloading of a Deformable Sphere Loaded against a Rigid Flat A Finite Element Study

Analysis of flow characteristics of a cam rotor pump

Device Fabrication: Etch

Example-3. Title. Description. Cylindrical Hole in an Infinite Mohr-Coulomb Medium

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Optimization of blank dimensions to reduce springback in the flexforming process

The plastic behaviour of silicon subjected to micro-indentation

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

2.76/2.760 Multiscale Systems Design & Manufacturing

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Etching: Basic Terminology

Effects of TGO Roughness on Indentation Response of Thermal Barrier Coatings

b. The displacement of the mass due to a constant acceleration a is x=

Modelling the nonlinear shear stress-strain response of glass fibrereinforced composites. Part II: Model development and finite element simulations

Ratcheting deformation in thin film structures

TCAD Modeling of Stress Impact on Performance and Reliability

STUDIES ON NANO-INDENTATION OF POLYMERIC THIN FILMS USING FINITE ELEMENT METHODS

DOE WEB SEMINAR,

Computational models of diamond anvil cell compression

Thermo Mechanical Analysis of AV1 Diesel Engine Piston using FEM

Chapter 5: Ball Grid Array (BGA)

A continuum theory of amorphous solids undergoing large deformations, with application to polymeric glasses

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

A multiscale framework for lubrication analysis of bearings with textured surface

FINITE ELEMENT ANALYSIS OF IMPACT AND PENETRATION OF POLYCARBONATE PLATE BY A RIGID SPHERICAL PROJECTILE

Profile simulation of gas chopping based etching processes

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Modeling, Simulation and Optimization of the Mechanical Response of Micromechanical Silicon Cantilever: Application to Piezoresistive Force Sensor

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Chapter 7. Plasma Basics

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

Transcription:

Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007

Spatial Variation in MEMS Processes Wafer Scale Chip Scale Feature Scale Many MEMS processes face uniformity challenges due to: Equipment limitations Layout or pattern dependencies Variations often highly systematic and thus can be modeled Models can help improve process to minimize variation Models can help improve design to compensate for variation 2

Non-uniformity problems in MEMS Plasma etch variation Silicon Silicon oxide Silicon Etch depth variation: imbalance in MIT microengine rotor ~10 mm turbine blades mask layout e.g. A.H. Epstein et al., Proc. Transducers 97 3

Non-uniformity problems in MEMS Embossing for microfluidics manufacture Si stamp Thermoplastic polymer Cover Surface nonuniformity: failure to seal Cover Channel depth nonuniformity from embossing polymer flow 4

Outline Background spatial variation in MEMS fabrication processes 1. Deep reactive ion etch (DRIE) 2. Polymer hot embossing Conclusions 5

1. Deep-Reactive Ion Etching (DRIE) Background: the DRIE process Sources of manufacturing nonuniformity Characterizing tool performance Semi-physical non-uniformity model Integrating the model into a design tool Extending the model 6

Inductively-coupled plasma in DRIE chamber cross-section vacuum chamber ~10-100 mtorr gas inlet wafer plasma X ~ r.f. supply to excite plasma to wafer load lock chuck exhaust ~ independent control of ions acceleration towards wafer 7

Time-multiplexed Bosch processing flow rate 1. mask 2. SF 6 etch SF 6 C 4 F 8 3. C 4 F 8 passivation 4. SF 6 etch (6 11s) (10 15s) time SF 6 dissociates: SF 6 + + e S xfy + S xfy + F + e Ion-assisted chemical etching: Si + nf SiF n Journal of The Electrochemical Society, 146 (1) 339-349 (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and 4241045C1 (Germany) (1994) 8

Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F X 9

Approach: Characterization using family of test wafer designs (a) Symmetrical loading (b) 5% average loading (c) 95% average loading 10

Observed wafer/chamber-scale variation 1% 5% 1 20% 81 position index 70% 95% pattern density test patterns H.K. Taylor et al., J. Electrochem. Soc., May 2006 11

Observed pattern-dependent variation Average pattern density 5% throughout Localized to differing extents H.K. Taylor et al., J. Electrochem. Soc., May 2006. 12

Modeling basis: Ion-neutral synergism at silicon surface Models for etching rate Mogab (1977) 1 : etch rate varies inversely with loading Gottscho (1992) 2 : etch rate set by ion-neutral synergism R: etch rate : surface coverage ke i : activity constant for ions vs 0 : activity constant for radicals ion flux, ion J i R R 1 J i = Silicon 1 R kei Ji vs0 F Neutral neutral flux, J n silicon silicon J n adsorbed Adsorbed neutrals neutrals = k E J i i = vs ( ) 0 1 J n + 1 J n 1 J. Electrochem. Soc. 124 p1262 (1977). 2 J. Vac. Sci. Tech. B, 10, 2133 (1992) 13

Concentration equilibrium above wafer surface J i (x, y) lateral transport C(x, y) generation, recombination Consumption: J n (x, y) mask silicon C, C e : fluorine concentration G: fluorine generation rate ave : wafer-average pattern density Solving for concentration of F neutrals in steady state at (x, y): G ( x y) [ + ( 1 )] C ( x, y) ( x, y) e, 1 ave 2 ave e = C e ( x, y) = C G( x, y) [ + ( 1 )] 1 1 ave 2 ave + 0 Neglecting lateral transport rate constant selectivity loading /pattern density T.F. Hill, H. Sun, H.K. Taylor, and D.S. Boning, Proc. MEMS 2005 14

Ion-neutral synergism plus Mogab model Ion-neutral synergism Equilibrium fluorine concentration 1 = 1 + R kei Ji vs0 1 J n C e ( x, y) = G( x, y) [ + ( 1 )] 1 1 ave 2 ave + J ( x, y) = uˆ C( x y) n z, R ( x, y) = [ kei J i ( x, y) ][ vs uˆ 0 zg( x, y) ] [ ke J ( x, y) ]{ [ + ( 1 )] + 1} + [ vs uˆ G( x, y) ] R i i ( x, y) = A 1 ave 2 ave A( x, y) B( x, y) ( x, y) { [ + ( 1 )] + 1} B( x y) 1 ave 2 ave +, 0 z T.F. Hill, H. Sun, H.K. Taylor, and D.S. Boning, Proc. MEMS 2005 15

Tuning chamber model to uniform-pattern data Position on wafer 81 81 A(x,y) B(x,y) 1 1 16

Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 17

Measurement points experience a local effective density 18

An integrated wafer- and die-scale model Around every location with non-average pattern density, there is a perturbation of F concentration 19

An integrated wafer- and die-scale model Assuming that the present 1 mm 2 location is the only one on the wafer with non-average pattern density, re-write concentration equilibrium, and, element-wise, obtain the map C isol (x,y): G ( x, y) { ( x, y) + [ 1 ( x, y) ]} C ( x, y) C ( x, y) C ( x, y) C ( x, y) 2D + 2 r0 isol e isol 1 2 isol Generation Consumption Recombination r ln r c 0 Lateral transport term 0 20

An integrated wafer- and die-scale model Map of surplus fluorine concentration defined as C isol (x, y) C e (x, y) Superpose these perturbations of concentration via discrete 2-D convolution of surplus concentration with diffusion filter, E Filter contains fovea to deal with microloading 21

Integrated model fits with error 0.8% 4.5% r.m.s. per wafer Substitute modified C(x,y) into wafer-level model, using maps A(x,y) and B(x,y) Obtain etch rate prediction R(x,y) 22

A two-level model, tuned for each tool + recipe characterization wafers characterization wafers A B filter magnitude radial distance + 2 scalar variables two-level model T.F. Hill et al., Proc. MEMS 05 + H.K. Taylor et al., accepted for publication, J. Electrochem. Soc. 23

Characterizing other tool-recipe combinations STS2 at MTL (25 mtorr) STS Pegasus (86 mtorr) Etch rate (μm/min) 24

Putting two-level model into action discretized mask design + scalar constants two-level model takes a few seconds to run drafting software refine mask design highlight problems on-screen 25

CAD tool for nonuniformity prediction Die-scale variation Chamber-scale variation Combined prediction Discretized mask design Ali Farahanchi 26

DRIE Modeling Contributions Understanding of uniformity s dependence on pattern density and localization Observed pattern interactions over ~30 mm Semi-physical model for non-uniformity caused by tool design pattern design Ability to predict non-uniformity on 1-mm lateral grid for any etched pattern 27

2. Polymer Hot Embossing Background Simulations of uniformity Characterization experiments for uniformity 28

Background: Hot Embossing Hot Embossing Goal: Formation of surface structures in polymer or other materials Microfluidics & other applications Key Issue: Embossing requires flow of displaced material: pattern dependencies 29

Hot Micro- and Nano-Embossing Glass-transition temperature temperature load time t load t hold To choose an optimal process, we need to assign values to Heat Time Our load and temperature are constrained by Equipment Stamp and substrate properties 30

PMMA in compression N.M. Ames, Ph.D. thesis, MIT, 2007 31

PMMA in compression, 140 C using model of N.M. Ames, Ph.D. thesis, MIT, 2007 32

PMMA in compression Compare this ratio, P/Q, to the Deborah number, t material /t load using model of N.M. Ames, Ph.D. thesis, MIT, 2007 33

Starting point: linear-elastic material model E(T) Embossing done at high temperature, with low elastic modulus Deformation frozen in place by cooling before unloading Wish to compute deformation of a layer when embossed with an arbitrarily patterned stamp Take discretized representations of stamp and substrate 34

Response of material to unit pressure at one location General load response: 1 w( x, y) = E 2 p(, ) 2 ( x ) + ( y ) 2 d d w load radius, r Point load response wr = constant Response to unit pressure in a single element of the mesh: 1 = E 2 F i, j 2 2 1 2 2 1 + 1, [ f ( x, y ) f ( x, y ) f ( x, y ) f ( x y )] ( ) ( ) ( ) x, y = y ln x + x 2 + y 2 + x ln y + x 2 y 2 f + 1 F i,j defined here x 1,y 1 x 2,y 2 Unit pressure here 35

1-D verification of approach for PMMA at 130 C Iteratively find distribution of pressure consistent with stamp remaining rigid while polymer deforms Fit elastic modulus that is consistent with observed deformations Extracted Young s modulus ~ 5 MPa at 130 C 36

2-D linear-elastic model succeeds with PMMA at 125 C Si stamp 1 2 cavity Simulation protrusion 1 mm 15 μm Topography (micron) 3 5 4 6 7 8 1 2 3 4 5 6 7 8 0 Lateral position (mm) Lateral position (mm) Thick, linear-elastic material model Experimental data 37

Linear-Elastic Model Succeeds at 125 C, p ave = 0.5 MPa stamp penetration w polymer p 38

Linear-Elastic Model Succeeds at 125 C, p ave = 1 MPa Features filled, 1MPa 39

Linear-elastic model succeeds below yielding at other temperatures 40

Extracted PMMA Young s moduli from 110 to 140 C 41

Material flows under an average pressure of 8 MPa at 110 C stamp polymer 42

Yielding at 110 C stamp penetration polymer w Simple estimates of strain rate: penetration w t hold 2 10-3 to 10-1 during loading 10-4 to 10-3 during hold Local contact pressure at feature corners > 8 MPa N.M. Ames, Ph.D. Thesis, MIT, 2007 43

Modeling combined elastic/plastic behavior Compressive stress Yield stress 0.4 Compressive strain Plastic flow Deborah number De = t material /t load, hold De << 1 De ~ 1 De >> 1 Consider plastic deformation instantaneous Consider flow to be measurable but not to modify the pressure distribution substantially during hold 44

Modeling combined elastic/plastic behavior Elastic: E(T) Plastic flow De << 1 De ~ 1 De >> 1 Plastic flow w + e yield ( ) ( ) ( ) ( ) ( ) x,y = p x,y f x,y + p x,y p A Bt f ( x,y) hold p Existing linear-elastic component Tuned to represent cases from capillary filling to non-slip Poiseuille flow f e Material compressed f p Volume conserved radius radius 45

Status and future directions polymer hot emboss modeling The merits of a linear-elastic embossing polymer model have been probed This simulation approach completes an 800x800-element simulation in: ~ 45 s (without filling) ~ 4 min (with some filling) Our computational approach can be extended to capture yielding and plastic flow Is a single pressure distribution solution sufficient to model visco-elasto-plastic behaviour? Abstract further: mesh elements containing many features 46

Conclusions Spatial variation a concern in MEMS fabrication processes Semi-empirical modeling approach developed: Physical model basis Process characterization for tool/layout dependencies Applications: Deep reactive ion etch (DRIE) Chemical-mechanical polishing (CMP) [not shown] Current focus: Polymer hot embossing 47

Acknowledgements Singapore-MIT Alliance (SMA) Surface Technology Systems Ltd. Hongwei Sun, Tyrone Hill, Ali Farahanchi (MIT) Nici Ames, Matthew Dirckx, David Hardt, and Lallit Anand (MIT); Yee Cheong Lam (NTU) Ciprian Iliescu and Bangtao Chen (Institute of Bioengineering and Nanotechnology, Singapore) 48