Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Similar documents
Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Lecture 36: Temperatue Measurements

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Section 7. Temperature Measurement

Law of Heat Transfer

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

Chapter 18 Temperature, Heat, and the First Law of Thermodynamics. Thermodynamics and Statistical Physics

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Review: Light and Spectra. Absorption and Emission Lines

What are the six common sources of light?

Chemistry Instrumental Analysis Lecture 18. Chem 4631

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps

Unit-2 LASER. Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers.

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

2101 Atomic Spectroscopy

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION

Lecture 22. Temperature and Heat

Secondary Ion Mass Spectroscopy (SIMS)

Emission spectrum of H

The Nature of Light I: Electromagnetic Waves Spectra Kirchoff s Laws Temperature Blackbody radiation

Spectrum of Radiation. Importance of Radiation Transfer. Radiation Intensity and Wavelength. Lecture 3: Atmospheric Radiative Transfer and Climate

Design strategy for Low e windows with effective insulation

Lecture 3: Atmospheric Radiative Transfer and Climate

Analytical Spectroscopy Review

Atomization. In Flame Emission

Chapter 18. Temperature, Heat, and the First Law of Thermodynamics Temperature

Novel Flux Calibration Source. CORM 2007 David C. Gross May 10, 2007

PREFERRED RELIABILITY PRACTICES. Practice:

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

A Radiation Model of a Rapid Thermal Processing System

Radiation Heat Transfer. Introduction. Blackbody Radiation. Definitions ,

Radiation Heat Transfer Prof. J. Srinivasan Centre for Atmospheric and Oceanic Sciences Indian Institute of Science, Bangalore

EE-612: Lecture 22: CMOS Process Steps

PH300 Spring Homework 06

Resistivity and Temperature Coefficients (at 20 C)

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Chapter 6: Light-Emitting Diodes

Ch. 9 Atomic Absorption & Atomic Fluorescence Spectrometry

Module 1. Illumination Engineering Basics. Version 2 EE IIT, Kharagpur 1

Compact Knowledge: Absorbance Spectrophotometry. Flexible. Reliable. Personal.

Ion Implantation ECE723

Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II

Physics 17 Spring 2003 The Stefan-Boltzmann Law

10/21/2015. Lightbulbs. Blackbody spectrum. Temperature and total emitted power (brightness) Blackbody spectrum and temperature

Lightbulbs. Lecture 18 : Blackbody spectrum Improving lightbulb efficiency

Chapter 8 Ion Implantation

Harris: Quantitative Chemical Analysis, Eight Edition CHAPTER 23: GAS CHROMATOGRAPHY

Illumination, Radiometry, and a (Very Brief) Introduction to the Physics of Remote Sensing!

AS 101: Day Lab #2 Summer Spectroscopy

Sub-category: Physics and Principles of Measurement Topic: Monitoring anesthetic gases and vapours Date: January 15-17, 2016 Language: English

Introduction to Infrared Radiation.

CHAPTER 3: Epitaxy. City University of Hong Kong

3 - Atomic Absorption Spectroscopy

OPAC 101 Introduction to Optics

Chemistry Instrumental Analysis Lecture 17. Chem 4631

2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon

-I (PH 6151) UNIT-V PHOTONICS AND FIBRE OPTICS

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV

Thermal Systems. What and How? Physical Mechanisms and Rate Equations Conservation of Energy Requirement Control Volume Surface Energy Balance

Properties of Electromagnetic Radiation Chapter 5. What is light? What is a wave? Radiation carries information

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam

Chapter-4 Stimulated emission devices LASERS

Chapter 5 Light and Matter: Reading Messages from the Cosmos. What is light? Properties of Waves. Waves. The Electromagnetic Spectrum

Physics 111. Lecture 42 (Walker: 18.9) Entropy & Disorder Final Review. May 15, 2009

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

very high temperature for excitation not necessary generally no plasma/arc/spark AAS

ATOMIC SPECROSCOPY (AS)

Laser Dissociation of Protonated PAHs

Chamber Development Plan and Chamber Simulation Experiments

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Fracture Mechanics in Quartz Lamps

Latest Heat Transfer

EXPERIMENT 17: Atomic Emission

Introduction to Plasma

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631

PHYS2627/PHYS2265 Introductory quantum physics LABORATORYMANUAL Experiment 1: Experiments of Thermal Radiation

Atomic Spectra. d sin θ = mλ (1)

10/2/2008. hc λ. νλ =c. proportional to frequency. Energy is inversely proportional to wavelength And is directly proportional to wavenumber

MODELLING AND CONTROL OF A VORTEX ARC LAMP FOR RTP APPLICATIONS

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Earth: A Dynamic Planet A. Solar and terrestrial radiation

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Atomic Absorption Spectroscopy

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

k T m 8 B P m k T M T

Materialwissenschaft und Nanotechnologie. Introduction to Lasers

Chapter 11 FUNDAMENTALS OF THERMAL RADIATION

Light sources. Excited gas atoms are the primaty source of radiation in combustion and discharge lamps. lamps is not continuous!

White Paper Adhesives Sealants Tapes

The Planck Blackbody Equation and Atmospheric Radiative Transfer

Chapter 13 An Introduction to Ultraviolet/Visible Molecular Absorption Spectrometry

Chapter 9 Ion Implantation

A) 120 degrees B) 90 degrees C) 60 degrees D) 45 degrees E) 30 degrees

Lecture 4: Global Energy Balance. Global Energy Balance. Solar Flux and Flux Density. Blackbody Radiation Layer Model.

Lecture 4: Global Energy Balance

Chapter 15 Molecular Luminescence Spectrometry

Thermal Sensors and Actuators

Transcription:

Lecture 6 Rapid Thermal Processing Reading: Chapter 6

(Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion (RTD) Rapid Thermal Chemical Vapor Deposition (RTCVD) Silicides and Contact formation Advantages: 1.) Single wafer processing produces the best uniformity, especially for large wafer sizes..) Minimize redistribution of dopants, minimal sqrt(dt) with maximal D (high Temperature) allows repair of damage from ion implantation. 3.) Cold walls allow multiple processes to occur without cross contamination. 4.) Photochemistry can be exploited. Disadvantages: 1.) Absolute temperatures are almost never known..) Nonthermal-equilibrium conditions make modeling and predicting difficult. 3.) Uniform heating is more critical than traditional furnace processing due to high ramp rates and the resulting stress. Definitions: stress: force per area => units are the same as pressure

RTP Physics Heat Flow Mechanisms can be related to temperature rise by: dt dt ( C p )( )( thickness) Where C p is the specific heat ( [J/K] a measure of how much energy a material can absorb before it manifests in a temperature rise), is the gram/cm 3 density, and q-dot is the heat flow density (W/cm ) Note your book is inconsistent on how it uses q-dot. Watts cm Joules Second cm Temperature ramp rate can be enormous!!!!!

Types of RTP 1.) Adiabatic (without heat transfer): Excimer laser pulses (<us) anneal the thin skin of material.=>huge vertical temperature gradients.) Thermal flux: rastering a focused beam (electron or laser) across a wafer. =>huge vertical and lateral temperature gradients 3.) Isothermal: Broad area optical illumination. => minimal temperature gradients. RTP Physics 3 types of Heat Flow Mechanisms: 1.) Conduction: Flow of heat between two bodies in intimate contact. Heat flow per unit area in a solid is expressed in terms of a solids thermal conductivity, k(t), as, k( Where k(t) has units of Watts/(cm-K) and x is the thickness measured between the two temperatures. Note this is different from your book..) Convection: Flow of heat between two bodies through an intermediate medium (a gas in our case) For a gas with effective heat transfer coefficient, h with units (Watts/cm -K) is, Notice that both of these expressions are linear in temperature T x h( Twafer T )

Example: Assuming constant power delivery, what is the initial temperature rise rate for a 700 um thick Si wafer in a furnace heated from T=30 C to T=1000 C in a gas with a effective heat transfer coefficient of e-4 W/(cm -C)? Power density needed to get to 1000 degrees C dt dt dt dt h( T ( C p ) wafer 0.75( J T e 4(30 1000) T ( ) ) 30) ( thickness) / gm C) 0.19W 0.19W / cm / cm.33( gm / cm 3 ) 0.07( cm) 1.7 C / sec For an 8 inch wafer this only requires about 60 W IF all other losses such as radiative losses are ignored. However, radiative losses become dominant at high temperatures (~>400 degrees C)

3.) Radiation: Flow of heat between two bodies through radiation and absorption of light. We can use the spectral radiant exitance= the radiated power per area per unit wavelength, M ( ( ) c 5 e 1 c T 1 16 where c1 3.714x10 W m,c 1.4388x10 m K and ε(λ) is the wavelength dependant emissivity. If ε(λ)is independent of where 5.6697x10 λ, then thetotalpower radiatedper unit area, the totalexitance, W/m M ( q ( T NOTE:1). The unit change to meters and ) The radiated power depends on temperature to the forth while conduction and convection depend on temperature linearly. Thus, radiation is the dominate mechanism at high temperature while conduction and convection dominate heat flow at lower temperatures. The emissivity is related to the absorbance by Kirchoff s law of conversation of power which states that in steady state at (constant temperature and absorbed and emitted power), the power absorbed by a wafer must be equal to the power emitted. -8 K 4 is the Stefan - Boltzmann constant. 4

The net heat flow between hot bodies is, F 4 4 1 to q to1 ( 1T1 T ) A1 FA 1 to A where F A is the view factor 1 to A q 1 cos( )cos( ) 1 A1 to A A da 1 A 1dA A1 r For most real life surfaces (even flat wafers have finite thicknesses) this equation is not very useful unless computer calculations or simplifying assumptions are used.

Hardware for RTP Rapid Thermal Processing (RTP) Bulbs can be classified by their color temperature, max spectral radiant exitance 0. 898 cm K T The more power per unit area emitted by a bulb, the higher the color temperature (peak of exitance moves to lower wavelengths). Tungsten Halogen Bulbs: Moderate color temperatures~moderate output power density. As tungsten filament gets hot, the W evaporates and begins to coat the glass. The halogen species forms volatile (gases with high vapor pressures) W-halogen compounds that diffuse back to the hot filament, break apart and redeposit the W. Thus, longer bulb life is obtained. Arc Noble Gas Discharge Lamps: A fused silica tube containing a noble gas (or mixtures) is ignited with a high voltage pulse to ionize the gas. Once ionized the bulb can carry a huge DC current. The effect is a very intense light source with very high color temperature and additional discrete gas line spectra superimposed on the radiant exitance. Low melting point metals such as Hg are also used to increase output power in certain desired wavelengths.

Uniformity Issues: Multizone bulb arrangements are used to supply more power to wafer edges to compensate for increased radiated power loss and lower optical view factors. Loss of uniformity results in inconsistent dopant activation, inconsistent dielectric properties, inconsistent stress resulting in defect generation and many other problems. Temperature Measurement: Most often in today s RTP systems a combination of pyrometry, acoustic and in some rare cases thermocouples in a susceptor are used. 1.) Pyrometry measures the intensity of light within a certain operating bandwidth emitted from a wafer and relates it to the the spectral exitance. Disadvantages are that transmission through process gases and glassware, errors in the assumed emissivity, pickup of background radiation from the lamps themselves, and even destructive interference from deposited layers can result in errors in the measurement. Several points on the wafer must be sampled to adjust the wafer uniformity..) Acoustic Measurements: The velocity of sound is measured between pairs of quartz pins supporting the wafer. The sound velocity is a linear function of temperature. Thus, with proper calibrations, the temperature at many positions can be measured. 3.) Thermocouples in a susceptor: High thermal conductivity materials such as a silicon, SiC or Graphite susceptor may be used to absorb the lamp power and re-radiate a more uniform distribution of light to the wafer to be processed. In this configuration, a thermocouple (metal junction of dissimilar metals whose difference in work functions produces a measurable voltage that depends on the temperature of the metal junction) can monitor the susceptor temperature. The wafer temperature can be found from the total exitance.

Rapid Thermal Annealing of Implanted Dopants Rapid Thermal Processing (RTP) Due to lower activation energies in implanted regions (point defects already exist in high concentrations, so the defect formation energy is not needed) the implants tend to diffuse faster than standard diffusion theory would predict. If longer time (minutes) low temperature anneals are performed before the high temperature anneal used to activate the implant, the enhanced diffusion goes away. Since thermal equilibrium may not be reached, doping profiles can actually exceed the solid solubility limit. Chemical and electrical profiles may not be the same (peaks and tails may not be activated). The electrical junction follows the implanted junction very well, even though the impurities may diffuse.

Dielectric Processing Very high quality ultra-thin oxides can be produced by introducing oxygen, fluorine (NF 3 ) and/or nitrogen gases during a RTA high temperature step. These rival diluted gas thermal oxides, but can have better uniformity (if strain near the edges is controlled).