VHDL-AMS Design of a MOST Model Including Deep Submicron and Thermal-Electronic Effects

Similar documents
Description of Thermodynamical Effects in the EKV 2.6 MOST Model

Physics-based compact model for ultimate FinFETs

MIXDES 2001 Zakopane, POLAND June 2001

EKV MOS Transistor Modelling & RF Application

EKV Modelling of MOS Varactors and LC Tank Oscillator Design

ECE 342 Electronic Circuits. 3. MOS Transistors

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistor I-V Characteristics and Parasitics

ECE 546 Lecture 10 MOS Transistors

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

MOSFET Capacitance Model

Device Models (PN Diode, MOSFET )

Compact Modeling of Ultra Deep Submicron CMOS Devices

MOS Transistor Theory

MOSFET: Introduction

Device Models (PN Diode, MOSFET )

Advanced Compact Models for MOSFETs

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

The HV-EKV MOSFET Model

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

ECE 497 JS Lecture - 12 Device Technologies

Lecture 3: CMOS Transistor Theory

Chapter 13 Small-Signal Modeling and Linear Amplification

Chapter 4 Field-Effect Transistors

ECE-343 Test 1: Feb 10, :00-8:00pm, Closed Book. Name : SOLUTION

Behavioral Modeling and Simulation of Semiconductor Devices and Circuits Using VHDL-AMS

EE382M-14 CMOS Analog Integrated Circuit Design

MOS Transistor Properties Review

Lecture 4: CMOS Transistor Theory

EKV based L-DMOS Model update including internal temperatures

Lecture 15: MOS Transistor models: Body effects, SPICE models. Context. In the last lecture, we discussed the modes of operation of a MOS FET:

MOS Transistor Theory

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

The Devices. Devices

EE105 - Fall 2006 Microelectronic Devices and Circuits

The Physical Structure (NMOS)

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOSFET Devices

Practice 3: Semiconductors

Towards a Scalable EKV Compact Model Including Ballistic and Quasi-Ballistic Transport

EE105 - Fall 2005 Microelectronic Devices and Circuits

The Devices: MOS Transistors

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Lecture 04 Review of MOSFET

The Devices. Jan M. Rabaey

II III IV V VI B C N. Al Si P S. Zn Ga Ge As Se Cd In Sn Sb Te. Silicon (Si) the dominating material in IC manufacturing

Figure 1: MOSFET symbols.

DC and AC modeling of minority carriers currents in ICs substrate

Introduction and Background

Vrg Qgd Gdg Vrd Gate Rg Rd Vgd Vgs Qds Ids = f(vds,vgs,t Qgs Ids Vds Idiode_f = f(vds Idiode_r = f(vdiode_r,t Ggs Qds = f(vds,t Qgs = f(vgs,t Vrs Rs Q

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson

Topics to be Covered. capacitance inductance transmission lines

N Channel MOSFET level 3

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

Analysis of Transconductances in Deep Submicron CMOS with EKV 3.0

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

6.012 Electronic Devices and Circuits Spring 2005

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow

VLSI Design and Simulation

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

MOS Transistor Theory MOSFET Symbols Current Characteristics of MOSFET. MOS Symbols and Characteristics. nmos Enhancement Transistor

Modeling of an Integrated Active Feedback Preamplifier in a 0.25 µm CMOS Technology at Cryogenic Temperatures

University of Pennsylvania Department of Electrical Engineering. ESE 570 Midterm Exam March 14, 2013 FORMULAS AND DATA

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Long Channel MOS Transistors

Lecture 11: MOS Transistor

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Chapter 5 MOSFET Theory for Submicron Technology

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 040 Integrated Circuit Technology - II (5/11/03) Page ECE Frequency Synthesizers P.E. Allen

Important! EE141- Fall 2002 Lecture 5. CMOS Inverter MOS Transistor Model

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

THE INVERTER. Inverter

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

6.012 Electronic Devices and Circuits

EE 560 MOS TRANSISTOR THEORY PART 2. Kenneth R. Laker, University of Pennsylvania

Electronic Circuits Summary

Step 1. Finding V M. Goal: Þnd V M = input voltage for the output = V M both transistors are saturated at V IN = V M since

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors

Robert W. Brodersen EECS140 Analog Circuit Design

Comparative Analysis of Practical Threshold Voltage Extraction Techniques for CMOS. Yu-Hsing Cheng ON Semiconductor October 15, 2018

CMOS Logic Gates. University of Connecticut 181

Lecture 210 Physical Aspects of ICs (12/15/01) Page 210-1

ELEC 3908, Physical Electronics, Lecture 26. MOSFET Small Signal Modelling

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Lecture 5: CMOS Transistor Theory

Investigation of the Thermal Noise of MOS Transistors under Analog and RF Operating Conditions

BSIM-CMG Model. Berkeley Common-Gate Multi-Gate MOSFET Model

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

Microelectronics Part 1: Main CMOS circuits design rules

CHAPTER 2 AN OVERVIEW OF TCAD SIMULATOR AND SIMULATION METHODOLOGY

CMOS Logic Gates. University of Connecticut 172

Transcription:

VHDL-AMS Design of a MOST Model Including Deep Submicron and Thermal-Electronic Effects Christophe Lallement, François Pêcheux, Yannick Hervé ERM-PHASE/ENSPS Pôle API, Parc d Innovations Bld S. Brant 67 Ilkirch, FRANCE {lallem,pecheux,herve}@erm.u-strasbg.fr Abstract The paper presents an application of the VHDL-AMS formalism to the model of a n-mos transistor named EKV. Our model takes into account several new features specific to deep submicron technology (parasitic resistors and overlap capacitors induced by LDD), and thermalelectronic interactions. We then give some examples of application of this innovative EKV MOS model (inverter, thermal-opto-electronic coupling). Keywords Thermo-electrical effect, Deep submicron effect, MOST, EKV MOST model, VHDL-AMS Introduction The design of innovative integrated devices, like MOEMS, involves strong interaction of pluri-disciplinary objects on the same chip. These objects are tightly coupled by physical effects, and the corresponding exchanges can be modeled at various abstraction levels. Our paper is an application of the innovative VHDL- AMS concepts to state-of-the-art MOS thermal-electronic simulation models. First, we present the principles, techniques and methodology used to achieve the design of an analytical third generation Spice transistor MOS model named EKV with VHDL-AMS, with relevant parameters set [] to match a deep submicron technology (gate length=. µm). Second, we give several examples with accompanying results (a CMOS inverter, and a n-most laser-diode system with thermal-electronic coupling). The EKV MOSFET model version.6 The EPFL-EKV MOSFET [] is a scalable and compact simulation model built on fundamental physical properties of the MOS structure. This model is dedicated to the design and simulation of low-voltage, low-current analog, and mixed analog-digital circuits using submicron CMOS technologies. The EPFL-EKV MOSFET model is in principle formulated as a single expression, which preserves continuity of first-order derivatives with respect to any terminal voltage, in the entire range of validity of the model. The EPFL EKV version.6 MOST model is a charge-based compact model. It consistently describes effects on charges, trans-capacitances, drain current and tranconductances in all regions of operation of the MOSFET transistor (weak, moderate, strong inversion) as well as conduction to saturation. It has less than twenty parameters, as depicted in Table. Purpose Parameters number Process parameters Doping & mobility related parameters 6 Short- & narrow- channel effect parameters Substrate current related parameters Table : Intrinsic parameters number for the EKV MOST Model (v..6). The effects modeled in this EKV MOSFET model v..6 include all the essential effects present in deep submicron technologies.

All aspects regarding the static, the quasi-static and non-quasi static dynamic and noise models are all derived in a consistent way from a single characteristic, the normalized tranconductance-to-current ratio []. Symmetric normalized forward and reverse currents are used throughout all these expressions. For quasi-static dynamic operation, both a charge-based model for the node charges and transcapacitances, and a simpler capacitances model (Fig ) are available. Gate Intrinsic EKV model elements P-channel MOSFETs are dealt with as pseudo-n-channel, i.e. the polarity of the voltages (V G, V S, V D, as well as the flat band voltage (optional parameters), the longchannel threshold voltage and the threshold voltage temperature coefficient) is inverted prior to computing the current for P-channel, which is given a negative sign. No others distinctions are made between N-channel and P- channel, with the exception of a factor for effective mobility calculation. In the EKV model, the parameters strongly concerned by temperature are the long-channel threshold voltage, the mobility, the longitudinal critical field, the second impact ionization coefficient and the extrinsic sheet resistance. Their respective temperature variation are taken into account by appropriate coefficients. c gsov c gbov c gbi c gsi c gdi c gdov The VHDL-AMS implementation Source RS eff Fig. : The intrinsic and extrinsic elements of the EKV transistor simpler capacitances model. In the case of the trans-capacitances model, these trans-capacitances are obtained from the derivation of the charges at the different nodes with respect to the terminal voltage, as stated in equation (): CMN = ± ( QM ), with M, N = G, D, S, B () V N These trans-capacitances are accurate through all inversion levels. Unlike other SPICE TMOS Models, the EKV MOST model has all voltages defined to the local substrate (Fig. ). V D (= V DB ) is the electrical drain voltage, and is chosen such that V D >V S. Bulk reference allows the model to be handled symmetrically with respect to source and drain, symmetry that is inherent in common MOS technologies. Gate Source V S I DS c sbj c sbi c dbi I DB c dbj Bulk Bulk I D Drain Fig. : Schematic structure of the EKV n-most model (the bulk is the reference). VD V G RDeff Drain VHDL-AMS [][] is a language that supports the simultaneous description of both analog and discreteevent systems. The recent approval of VHDL-AMS as the IEEE standard for describing and simulating mixed systems is further evidence of the need for an integrated tool allowing the modeling, the simulation, or the virtual prototyping of heterogeneous systems on a chip. For now, one of the major trends in the VHDL-AMS community is the modeling of elementary objects in the relevant physical domains involved (electronical, optical, mechanical, etc), and how these objects can simply be interconnected by the design engineer. Along with the ability to describe hierarchical/multi-abstraction models, the power of VHDL-AMS relies on the fact that it allows the model designer to only detail the constitutive equations of the inner submodels. VHDL-AMS takes advantage of a graph-based conceptual description to automatically compute the equations describing the conservative laws of the global model. The vertices of the graph represent effort nodes (across quantities like voltage, temperature or pressure) in the circuit, and the edges represent branches of the circuit through which information flows (through quantities like current, heat/liquid flow rate). Thus, the assembling of complex systems is nothing more than connecting elementary objects through terminals capable of exchanging informations, in such a way that the conservative semantics of the generalized Kirchoff laws are preserved. Listing shows the complete VHDL-AMS code for a simplified version of the EKV model, named EKV. Following figures (e.g.!) reference various parts of the listing, with respect to text explanations. For the end-user (circuit designer), the most important part is the interface!, known as an entity in VHDL-AMS. One can see that the n-most EKV entity only contains the traditional terminals, all of electrical type. In section, we introduce a fifth thermal terminal which allows heat exchanges between the transistor and its environment. The architecture part " contains the characteristic equations of the EKV model, and links

terminals to these equations. Quantities represent the static behavior of the resulting continuous system. library disciplines; use disciplines.electromagnetic_system.all; library ieee; use ieee.math_real.all; entity ekv is! port (terminal d,g,s,b : electrical); end; architecture equ of ekv is " quantity vg across g to b; # quantity vd across id through d to s; quantity vs across s to b; quantity isource through s; constant vt : real := 6.e-; -- thermodynamic voltage constant weff : real :=.e-6; -- effective channel width constant leff : real :=.e-6; -- effective channel length constant phi : real :=.6; -- Bulk Fermi potential constant gamma : real :=.6; -- Body effect parameter constant kp : real :=.e-6; -- transconductance parameter constant theta : real :=.e-; -- Mobility reduction coefficient constant vto : real :=.6; -- Long channel threshold voltage quantity VGprime,VP,iff,ir,beta,n,iss : real; $ function F(v:real) return real is begin return (log(.+exp(v/.)))**; end; begin % VGprime == vg - vto+phi+gamma*sqrt(phi) ; VP == VGprime - phi - gamma* (sqrt(vgprime+(gamma/.)**) -(gamma/.)); iff == F((VP-vs)/vt); ir == F((VP-vd)/vt); beta == kp * (weff/leff)* (./(.+theta*vp)); n==.+(gamma/(.*sqrt(vp+phi+.*vt))); iss ==.*n*beta*vt*vt ; id == iss*(iff-ir) ; isource == -id ; end ; Listing : The complete VHDL-AMS code for the simplified EKV model (case of a large transistor). The former quantities # are bound to terminals (terminals represent the nodes across of through which these quantities are defined), while the latter are free $. VHDL-AMS is of great interest as it allows the transistor model designer to enter «as is» the physical equations % of the model as a set of simultaneous statements. The solvability of the model relies on the fact that the total number of constitutive equations matches the total number of free, through and interface quantities. Features specific to submicron The LDD regions in the sub- and deep sub-micron CMOS technologies introduce additional parasitic resistances between the source/drain electrode and the channel, as well as overlap capacitances; these capacitances become more and more important in regard of the global capacitance (Fig. ) of the MOST (about % for a.µm technology). A problem with all these parasitic elements is their non-linear and bias dependent behavior. So, a MOST model dedicated to deep-submicron design must imperatively take into account these elements. Figure, and equation () show some simple and accurate solutions to the modeling of the LDD region, and this with few parameters (Table ). Series parasitic resistance RS / RD = RSH... r + r +. (. a) with Overlap capacitance C gds / C ox..8.6.. n-mosfet V d = V L =. µm t ox =. nm - r = SVK.[( VG VTO) / VK ] (. b) D simulation (overlap and intrinsic behavior) D simulation of the normalized overlap capacitance - V g (V) Figure : Simulation and evaluation of the overlap capacitance in a. µm technology []. Purpose Name Description Overlap LAMB Overlap coefficient capacitance TPOLY Polysilicon thickness Series RSH Sheet resistance at zero bias parasitic VK Characteristic voltage resistances SVK LDD coefficient Table : Parameters for deep submicron technologies.

Thermal-electronic modeling As the transistor size decreases, thermal interactions between devices on the same chip increase. These thermal effects are constantly amplified with the growing power density, and a failure in their estimation at an early development stage of the design often means extra costs and delays. When simulating circuits, heat diffusion through solid materials can be modeled by different means [6]-[9]. In the model, we do this by sourcing dissipated power into a thermal RC network [6], which represents the material properties of the different layers (thermal resistances and capacitances of ambient, heat sink and package). The temperature profile is the result of heat flow in the thermal network. In such networks, energy conservation states that the sum of all power contributions at a thermal node equals zero, and that the temperature at all terminals connected to a thermal node be identical. Thermal evolution of a system is thus ruled by the very same Kirchoff laws dictating the behavior of conservative systems : voltage becomes the across quantity temperature, and current becomes the through quantity heat flow. VHDL-AMS provides an elegant solution to thermal-electronic interactions. Among the various packages provided with the simulation environment, the thermal_system package simply adds thermal capabilities to electrical models. The principle is to introduce a thermal terminal, with relative through and across quantities respectively bound to power and temperature. The final EKV interface then becomes : entity ekv is port (terminal d,g,s,b : electrical ; terminal j : thermal); end; with the following quantity relations : quantity temp across power through j to thermal_ground ; that define state variable temp as an extensive value, and power as the corresponding intensive value. Using this electrical-thermal analogy, a thermal generator can simply be designed to model the ambient with equation (), constant amb_temp: real :=. ;... temp == amb_temp ; () while thermal resistance and capacitance can respectively be described by equations () and (). temp == rth * power ; () power == cth * temp dot ; () Once again, VHDL-AMS makes easier the description of dynamic behavior of components with implicit quantities like dot. Figure shows how thermal-electronic interactions between n-most and its direct environment can be modeled. Instantaneous device temperature, then electrical characteristics j Instantaneous voltage and current then dissipated power thermal impedance Ambient temperature «generator» Fig. : Modeling electro-thermal interactions. Figure puts emphasis on the fact that the instantaneous power dissipated by the EKV model is directly propagated to the thermal node j. The transistor thus acts as a power source in the thermal network. Reciprocally, the instantaneous temperature computed by the RC network and back-propagated to the thermal node of the n-most model is the operating temperature of the n-most model. This allows the n-most model to adjust its characteristic equations as a function of its operating temperature. 6 Experimental results The thermo-electrical effect applied to the n-most and surrounding substrate is a long process, as thermal time constants are several order of magnitude greater than electrical ones. In the following simulations, we have deliberately overstated values of the thermal network, in order to emphasize the downgrading of electrical characteristics. Figure shows the C GG trans-capacitance characteristic (without thermal effect) of the MOSFET transistor. Figure 6 and 7 show the output characteristic of the MOST with the same electrical bias. The I d vs. V d characteristic in Figure 7 is downgraded with temperature variation in the chip (also plotted on the figure) compared to Figure 6. Figure 8 details the simulation of the chip temperature variation and of the drain voltage versus time during commutation, of the n-channel transistor (in an inverter). Figures 9 and show the simulations of the laser diode temperature, of the laser diode current and of the light power, during commutation. The laser diode model can be found in []. We can observe the electrical and light power downgradings while the laser diode temperature increases.

8x - 6 W = µm L =. µm x - Id - Vd (with thermal effects) for different Vg, at Vs = Id currrent Chip temperature 9 8 Cggb [F] 8 Cggb - Vg (without thermal effects) for different Vd, with Vs = Id [A] W = µm L =. µm 7 6 Chip Temperature [K] 6... Vg [V]...... Vd [V]... Fig. : Simulation in VHDL-AMS of the C ggb transcapacitance. Figure presents a complete design that validates the methodology. The system details thermal coupling between an n-most and a laser-diode. Each component is excited by a squarewave stimulus (the laser-diode stimulus period is twice the n-most period), and connected to a local thermal RC network. The two thermal networks represent the thermal constants of the various material layers, and the effective coupling is realized thanks to Rcoupling. Figure shows two cycles of temperature evolution in the global system. At time, with no stimulus, temperature is given by the ambient temperature generator and propagated to both devices ( K). At time.s, the n-most is stimulated and its temperature reaches K, while the laser-diode slightly reacts to this change ( K). At time s, the laser-diode is activated, its temperature rapidly rises to K, and n- MOST temperature jumps to 6 K. At time s, both devices are stimulated, and temperature effects are stacked up. x - Id - Vd (without thermal effects) for different Vg, at Vs = Vd [V] Fig. 7 : Simulation in VHDL-AMS of the I d / chip temperature vs. V d characteristics (with thermal effects). Vd Chip temperature variation W = µm L =. µm 6 8 x -6 x -6 Fig. 8 : Simulation in VHDL-AMS of the n-channel transistor chip temperature variation versus time during commutation (in an inverter). Stimulus [V]..... Stimulus Temperature R 8 6 Temperature [V] (Tpuce - Tambient) [K]. Ids [A] W = µm L =. µm... Fig. 9 : Simulation of the laser diode temperature during commutation..... Vd [V] Fig. 6 : Simulation in VHDL-AMS of the Id versus Vd characteristic (without thermal effects)....

Stimuli (MOST & Laser diode) [V] Laser diode current [A] x -.. Laser diode current Light power. Fig. : Simulation of the laser diode current and light power during commutation. thermal impedance Ambient temperature «generator» Rcoupling Laser-diode thermal impedance Fig. : Simulation Circuit. Laser stimulus MOST stimulus Laser diode temperature MOST temperature. 6x - Laser-diode Fig. : Simulation results for circuit of Fig.. 7 Conclusions Temperature [K] Ligth power The EKV VHDL-AMS is about 9 lines of source code. For the moment, its limitations are due to the language itself (ie PDE are not supported, and accurate thermal model for heat diffusion is therefore not available), and to its operating environment (Anacad Advance AMS.-.). As soon as the latter supports procedural statements, we ll be able to produce much more convenient nmos models. Nevertheless, it is now possible to imagine the future of MOEMS design as the incremental interconnexion of composite pluridisciplinary objects. We are currently working on the VHDL-AMS release v. of the EKV model. It will notably include the quantum and polydepletion effects, and the NQS behavior []. References [] R. J. Baker: CMOS: Mixed-signal Circuit Design, Chap.. (http://cmosedu.com/cmos/chap.pdf). The book will be published this Fall. [] M. Bucher, C. Lallement, C. Enz, F. Théodoloz, K. Krummenacher, "The EPFL-EKV MOSFET Model Equations for simulation, Version.6", Technical Report, EPFL, 997, http://legwww.epfl.ch/ekv/. [] E. Christen, K. Bakalar, "VHDL-AMS-a hardware description language for analog and mixed-signal applications," IEEE Trans. on Circuits and Systems, part II, Vol. 6 Issue:, pp. 6 7, Oct. 999. [] 76.-999 IEEE Standard VHDL Analog and Mixed- SignalExtensions [ISBN -78-6-8], http://www.vhdl.org/analog/ [] F. Pregaldiny, C. Lallement, D. Mathiot, Paper Submitted to SSE [6] C. Lallement, R. Bouchakour and T. Maurel, "Onedimensional Analytical Modeling of the VDMOS Transistor Taking Into Account the Thermoelectrical Interactions," IEEE Transactions on Circuits and Systems, Part. I, Vol., N, pp. -, Feb. 997. [7] C. Lallement, R. Bouchakour and T. Maurel, "Onedimensional Analytical Modeling of Power Mosfet and Bipolar Transistors Taking Into Account the Thermoelectrical Interactions," Modeling in Analog design, Vol., in series on Current Issues in Electronic Modeling,, Ch., pps -, Eds: Jean-Michel BERGE et al., Kluwer Academic Publishers, 99. [8] H.A. Mantooth, E. Christen, "Modeling and simulation of electrical and thermal interaction," Modeling in Analog design, Vol., in series on Current Issues in Electronic Modeling,, Ch., pps 9-, Eds: Jean-Michel BERGE, et al., Kluwer Academic Publishers, 99. [9] T. Maurel, R. Bouchakour and C. Lallement," A New Approach for the Electrothermal Modeling of the Power Bipolar Transistor", PESC '9 Record., 6th Annual IEEE, Vol.:, pp. 88 86, 99. [] W. Uhring, Y. Hervé, F. Pêcheux," Model of an instrumented optoelectronic transmission system in HDL- A and VHDL-AMS ", SPIE 99,Australia, 999. [] J. M. Sallese, et al, "Advancements in DC and RF Mosfet modeling with the EPFL-EKV charge based model," 8th Int. Conf. MIXDES, Poland, pp. -, - June.