Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Similar documents
Actinic review of EUV masks: First results from the AIMS EUV system integration

We published the text from the next page.

EUVL Readiness for High Volume Manufacturing

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Bringing mask repair to the next level

ORION NanoFab: An Overview of Applications. White Paper

Critical Dimension Uniformity using Reticle Inspection Tool

Analysis of carbon contamination on EUV mask using CSM/ ICS

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

EUV Lithography Status and Key Challenges for HVM Implementation

Chromeless Phase Lithography (CPL)

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

High Optical Density Photomasks For Large Exposure Applications

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Overview of EUV Lithography and EUV Optics Contamination

Lecture 14 Advanced Photolithography

EUV Lithography Towards Industrialization

Direct-Write Deposition Utilizing a Focused Electron Beam

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Alternative deposition solution for cost reduction of TSV integration

MEMS Metrology. Prof. Tianhong Cui ME 8254

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Development status of back-end process for UV-NIL template fabrication

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

IEUVI Mask Technical Working Group

Interactions of 3D mask effects and NA in EUV lithography

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

EUV lithography industrialization for HVM

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Resist material for negative tone development process

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

ASML Approach to Euv Reticle Handling

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Current development status of Shin-Etsu EUV pellicle

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Nanostructures Fabrication Methods

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Cost of Ownership Considerations for Maskless Lithography

Progress on ASML s EUV Alpha Demo Tool

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

MICRO AND NANOPROCESSING TECHNOLOGIES

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

High Efficiency Collector for Laser Plasma EUV Source.

Nanosphere Lithography

Resist-outgas testing and EUV optics contamination at NIST

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Cost-driven mask strategies considering parametric yield, defectivity, and production volume. Kwangok Jeong Andrew B. Kahng Christopher J.

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Sensors and Metrology. Outline

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Visual Test Light Scattering Reticle. Users Guide

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Instrumentation and Operation

Nanotechnology where size matters

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Nanotechnology Fabrication Methods.

Nanoscale IR spectroscopy of organic contaminants

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Lecture 8. Photoresists and Non-optical Lithography

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Litho scenario solutions for FinFET SRAM 22nm node

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

MSN551 LITHOGRAPHY II

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Introduction. Photoresist : Type: Structure:

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Positioning, Structuring and Controlling with Nanoprecision

Automatic Differential Lift-Off Compensation (AD-LOC) Method In Pulsed Eddy Current Inspection

tip conducting surface

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

TIE-43: Optical Properties of ZERODUR

EUREKA: A new Industry EUV Research Center at LBNL

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Transcription:

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D. Van den Heuvel b a Carl Zeiss SMS GmbH - Carl-Zeiss-Promenade 10, 07745 Jena, / Industriestraße 1, 64380 Roßdorf, Germany, b IMEC, Kapeldreef 75, B-3001 Leuven, Belgium ABSTRACT The EUV-photomask is used as mirror and no longer as transmissive device. In order to yield defect-free reticles, repair capability is required for defects in the absorber and for defects in the mirror. Defects can propagate between the EUV mask layers, which makes the detection and the repair complex or impossible if conventional methods are used. In this paper we give an overview of the different defect types. We discuss the EUV repair requirements including SEMinvisible multilayer defects and blank defects, and demonstrate e-beam repair performance. The repairs are qualified by SEM, AFM and wafer prints. Furthermore a new repair strategy involving in-situ AFM is introduced. This new strategy is applied on natural defects and the repair quality is verified using state of the art EUV wafer printing technology. Keywords: EUV, defect-free reticle, multilayer defect, blank defect, compensation repair, e-beam repair 1. INTRODUCTION Driven by the consumer market and keeping pace with Moore s law, integrated circuit components are continuously shrinking in dimension. To make smaller circuit features, the microelectronic industry is developing next-generation extreme-ultraviolet (EUV) lithography for high-volume chip manufacturing. 17 The technology s 13.5 nm wavelength imposes very strict requirements on the quality of the involved optical elements, such as the light source, mirrors, and masks. Mask repair has become a fundamental part of the production process of a reticle. In switching from the transmissive 193 nm optics used in conventional photolithography to reflective EUV optics, the mask architecture has had to evolve. EUV masks include a multilayer Bragg mirror onto which an absorber pattern is defined. This transition has dramatic challenges for performing successful mask repair. Since the EUV-photomask is used as a mirror and no longer as a transmissive device the severity of different defect types has changed significantly. The EUV-photomask material stack is much more complex than the conventional 193 nm photomask. Defects can arise in these masks from multiple sources: optically imperfect mirrors that lead to pits and bumps, wrongly written absorber patterns, and dust particles lying on the mask. Repair capability is required for defects in the absorber layer and for defects in the mirror. This expands the field of critical defect types even further and requires not only repair capability for smaller defects but also for new material stacks and multilayer defects. A tighter interconnection is also necessary between different mask fabrication steps: blank inspection 1, pattern generation 2, pattern mask inspection, repair, final inspection. One of the most promising concepts for EUV photomask defect repair is focused electron beam induced processing 3. This technology employs a high resolution electron beam to induce a local chemical reaction on the EUV mask surface. A suitable precursor gas is dispensed through a nozzle in close vicinity to the incident beam. A reaction is induced by the electrons. Depending on the precursor chemistry, either a deposition is caused by fragmentation of precursor molecules, or a reaction between the adsorbed molecules and the substrate material results in volatile products and thus etching of the substrate material. The reaction is confined to the area exposed by the electron beam, so this technique allows for high resolution nanostructuring. From a repair point of view, a EUV mask can be separated into 3 layers: absorber, capping layer and multilayer. Unlike defects on 193 nm photomasks, defects on EUV masks can propagate through these layers. This makes the detection and Further author information: *m.waiblinger@smt.zeiss.com; phone +49 6154 8038 88; fax +49 6154 8038 80; www.zeiss.com/sms Photomask Technology 2012, edited by Frank E. Abboud, Thomas B. Faure, Proc. of SPIE Vol. 8522, 85221M 2012 SPIE CCC code: 0277-786/12/$18 doi: 10.1117/12.966387 Proc. of SPIE Vol. 8522 85221M-1

the repair difficult or impossible if only conventional methods are used. A review of different defect types shows that, from a repair point of view, all defects fall into two categories. One is a defect in the EUV absorber itself. This is almost impossible to repair if 193 nm e-beam mask repair technology is applied, because parasitic degradation and collateral damage of the capping layer are caused by the 193 nm repair method. The second defect type is a distortion in the mirror of the blank. Such a defect has two delicate implications. First, until today the direct repair of a EUV mirror has not been demonstrated successfully, although several concepts were proposed 4,5. Then, the defect is almost invisible in scanning electron microscopy (SEM) review 6. In this paper we give an overview of key features of our e-beam based repair tool, discuss EUV repair requirements and demonstrate current repair performance. We show the methodology, with which the EUV-specific shallow multilayer defects can be visualized and located. Furthermore the repair strategy is demonstrated on natural defects. The repair quality is verified by wafer prints. This work is a further development on previously reported results 6,18. On the one side, we had shown the through-focus reparability of multilayer (ML)-based pits and bumps, and had stressed out the importance of accurate repair shape positioning for successful compensation 6,7. On the other side, we had reported simulation results for absorber-free ML pits and bumps, and their effects on reflectivity loss and through-focus printing effects 8. The compensational repair process offers extended process latitude when mitigating EUV blank defects. Like for almost any repair process the compensational repair has its limits. If the mirror distortion is too large this defect cannot be compensated, even by removing the whole absorber in the vicinity. 9 A mask for production must be free of defects, both at blank level and absorber pattern level, so that they do not kill yield of the fabricated integrated circuit. EUV masks require a perfect blank and a defect-free patterning. In reality, even when meeting very strict quality standards, a certain number of defects can generally not be avoided. Some of the known blank defects can be covered by absorber material by using smart patterning 2. But some small blank-related defects can be unnoticed until wafer printing 10, 11. The absorber patterning is also difficult to make free of a few defects. The final defect map thus usually contains blank-related- as well as absorber-related defects. The repair strategy must thus be able to cope with small amounts of both defect types. Firstly, the absorber-related defects must be repaired, either by etching away opaque defects or by adding absorber on clear defects. Secondly, the structures patterned on nonremovable blank defects must be edited or compensated 12 in order to present lithographically acceptable process windows. 2. EXPERIMENTAL 2.1 EUV reticles Based on the procedure outlined before 1, the defectivity mask pattern used in this work consists of lines and spaces in vertical direction, all across the exposure field. Through the correlation of multiple inspection techniques the total set of known printing defects was determined. The ML-defects within this set have been identified and many were visualized by AFM 10,11. This investigation is an ideal baseline for a first experimental study of the compensation repair of natural ML-defects. Wafer printing is used to determine the success rate of the repairs. The 40 nm pitch masks were exposed on the ASML EUV Alpha Demo Tool (ADT). The 32 nm pitch mask was exposed on the ASML NXE 3100 tool at IMEC with conventional exposure (NA 0.25, sigma 0.8). For the NXE3100: exposures of the 27 nm and 25 nm pitch mask, called the multinode defectivity reticle further-on, a DISAR illumination (dipole with opening angle 60, sigma 0.4-08) was used for best contrast, and the conventional setting (NA 0.25, sigma 0.8) as reference. 2.2 E-beam mask repair tool Repairs are performed using the electron beam based Carl Zeiss MeRiT repair technology 3, 13. Gas-assisted focused electron beam induced processing is a well-established technology for nano-structuring by locally depositing or etching materials from the processed samples, which are respectively used for repair of clear and opaque absorber defects. Subsequent to adsorption of precursor molecules at the surface, for the former, deposition is realized by e-beam induced reaction and immobilization of the precursor. For the latter, etching is initiated by the e-beam induced reaction of the precursor with the material on the mask surface. Its application to photolithographic mask repair has become the state-ofthe-art method for high-yield production of defect-free masks with critical dimensions from 65 nm in 2006 down to the present 32 nm. 14 Proc. of SPIE Vol. 8522 85221M-2

2.3 AFM analysis The commercial MeRiT mask repair tool from Carl Zeiss SMS has been refined to support EUV mask repair. One requirement is to rapidly locate and visualize the mask defects before the actual repair. Since shallow defects like those present on the EUV blanks can be invisible to top-view SEM 10, a combination of optical and scanning probe methods is necessary. An atomic force microscope (AFM) compatible with the vacuum environment and the used process gases was developed as an upgrade for the MeRiT HR platform, and integrated into the vacuum chamber. The design allows for rapid and accurate switching between SEM and AFM imaging as well as nano-processing using either the SEM or AFM mode over the whole surface of a photolithographic mask. Probe exchange can be conducted by a robotic handling system, without breaking the vacuum in the chamber. In the course of our process development, AFM results on EUV masks had been gathered also with a commercially available atmospheric-pressure AFM. This allowed us to cross-check the obtained results and it offered easier access during the hardware development phase. Some of the AFM results shown in this paper originate from this external AFM, operated under ambient conditions. Only the results labeled as vacuum-afm were obtained with the AFM that is integrated in the MeRiT tool. 3. EUV ABSORBER DEFECT REPAIR The SEM review of the 27 nm and 25 nm multi node reticle resulted in a series of 35 natural opaque absorber defects, and a single clear absorber defect. Among the opaque ones, most (29 out of 30) were of a complex bridge or extension over a few spaces type, extending over 1 to up to 8 spaces. The exposure was performed several days after repair, and after cleaning the repaired mask, which did not affect the print results. Typical results are presented in fig. 1. 27 nm real defects Mask SEM NXE: 3100 After e -beam repair Mask SEM NXE: 3100 Comment Multiline bridging OK I Complex half height OK Depo OK Figure 1: Example repair results for 27 nm natural opaque absorber defects on a representative EUV reticle. (Left) MeRiT SEM top views of the defects and SEM views of NXE: 3100 wafer prints from the defect areas. The mask patterns are printed on the wafer with 4x reduction and horizontal mirroring. All wafer prints are displayed with horizontal mirroring for easier comparison. (Right) MeRiT SEM top views and SEM views of the NXE: 3100 printed wafers from the same areas after repair. Proc. of SPIE Vol. 8522 85221M-3

These defects were repaired with a single process recipe, which was successful over 9 multi-line defects and 20 complex bridges and extensions extending over a single space (many of which had only partial height). The average time necessary per etch repair using standard process conditions was 20 minutes. For the partial-height defects (classified as such by SEM), no AFM information was necessary since the process stops on the Ru-cap: the shorter process duration, 50 to 75% of that needed for full-height defects, reflected the reduced absorber thickness. Typical repair results on the subset of 12 defects in 25 nm L&S are shown in fig. 2. Anticipating the difficulties to locate well-repaired defects on the wafer prints in the absence of markers, the defect locations were marked by cutting away short pieces of a line, at 3 spaces distance ( cut-markers, not shown) simply to assure cross-checking the exact location after repair. 25 nm real defects Mask SEM NXE: 3100 After e -beam repair Mask SEM NXE: 3100 Comment Complex OK Half height OK Multiline OK Figure 2: Example repair results for 25 nm natural opaque absorber defects on the multinode EUV reticle. (Left) MeRiT SEM top views of the defects and SEM views of NXE: 3100 wafer prints from the defect areas. The mask patterns are printed on the wafer with 4x reduction and horizontal mirroring. All wafer prints are displayed with horizontal mirroring for easier comparison. (Right) MeRiT SEM imaging and SEM views of NXE: 3100 wafer prints of the same areas after etch, demonstrating successful repair. All single-space defects were repaired successfully, with no measurable CD variation remaining on the printed image. As expected, in the absence of markers, the repaired defect locations would not have been recognized on the prints. Under the qualification conditions used, some of the larger defects, bridging over several lines, did show slight CD variations on the wafer print (in the form of larger spaces). These large defects would have required a different process recipe, and will be used as learning examples for future repairs. Since the successful process recipe was the same as used previously on series of natural defects in 40 nm and 32 nm HP structures 6,18, the applicability of a single recipe to repair small defects over several EUV pitches is demonstrated. 4. EUV MULTILAYER DEFECT CHARACTERIZATION AND REPAIR 4.1 ML defect characterization The above discussed defects were located in the layers above the capping layer of the EUV mask and state of the art repair tools can address these for repair. Yet the defect origin in a EUV mask is additionally between the LTEM substrate and the capping layer, as shown in fig. 3. EUV mask defects can roughly be divided into two classes. One class Proc. of SPIE Vol. 8522 85221M-4

contains all the classic clear and dark defects located above the capping layer. The other class contains the defects that cause a multilayer distortion. Figure 3. The mask repair tools are only effective above the capping layer whereas the possible defect origin of defects which is addressed by the mask repair tool is located between the LTEM substrate and the surface. A first requirement for a successful repair is to see the defects. For conventional repair of absorber defects typically the flaw of the absorber pattern can be fully visualized by SEM. AFM information can be helpful to repair clear absorber defects, since shallow thickness variations would be unnoticed by SEM. For ML-defects, at least the ones that risk to be missed by blank inspection are typically shallower than approximately 5 nm 10. Under the usual mask-compatible imaging conditions, these are not visible in SEM (see fig. 4), which excludes SEM for accurate navigation. The limited accuracy of the available defect maps (in our experience typically still up to 1 µm, due to positioning mismatch from tool to tool) does not yet allow the user for a successful blind navigation to a defective space. Since the multilayer distortion causes a change of the aerial image an obvious process would be to make the distortion visible using AIMS. Using the EUV AIMS it should be easy to calculate the difference of the so determined aerial image and the intended wafer design. This calculated difference can then be used by the repair tool to compensate for this defect. Since the EUV AIMS is not available yet, but under development 16, an alternative solution is required in the meantime. This is based on wafer printing, aided by mask review including AFM. AFM has proved very successful in finding, locating and measuring such occurrences of shallow ML-defects by the analysis of the surface of the ML 10,11. The limit for SEM visibility of ML defects is around 5 nm thickness. After having located a defect by AFM, the SEM imaging parameters can be optimized to try and increase the contrast. In particular by fine-tuning the beam acceleration conditions, some of the larger bumps and pits can show a faint contrast (see fig. 4). However, this would not have allowed for unambiguous location of the defects without AFM cross-check. The ML defects of interest for repair (i.e. the smaller ones, susceptible of being missed by blank inspection) will hence further be considered as SEM-invisible. 5 nm thick bump" J 3 nm deep pit" Figure 4. Example characterizations by SEM and AFM of multilayer-based defects on EUV reticles with 40 nm L&S. (left box) SEM top-view and AFM 3D view, of a raw ML bump (height 5 nm, full width 70 nm) (right box) SEM top-view and AFM 3D view, of a raw ML pit (depth 3 nm, full width 130 nm). Proc. of SPIE Vol. 8522 85221M-5

The in-situ AFM option of the MeRiT HR tool series is designed to allow for SEM and AFM imaging in a single tool. Applied to EUV reticles, its scope is to locate ML defects easily and rapidly, as a step in the repair workflow. This relies on an accurate positioning of the AFM with respect to the e-beam. 4.2 ML defect compensation repair The basic principle of ML defect compensation repair is depicted in fig. 5. Due to the multilayer distortion the light is scattered. As a consequence the dose in the space stays below the dose-to-clear limit and the space is not resolved. This reflectivity loss from ML defects can be compensated by removing absorber around the defective area 6. The shape of this compensation is done in a way that the areal image is reconstructed. With the so achieved increased intensity, the open mirror spaces print acceptably, while the narrower lines do not affect the diffraction-limited photoresist image. Figure 5: This series shows a simulated aerial image when absorber material is removed around the multilayer distortion. Without modification the distortion causes an insufficient dose to clear in the space during the wafer exposure process which causes that the line bridge. The more material is removed from the absorber around the distortion the more light gets into the space. The simulation of the areal image was performed using Panoramic Repair examples on a pit (resp. bump) are shown in fig. 6 and fig. 7. Based on the AFM input, a repair shape can be satisfactorily positioned over the defect area. A multilayer pit and a multilayer bump, both previously printing with a strong dependency on the focus setting during wafer printing, were successfully compensated over 200 nm focus range 6. Although it can be expected from previous simulation studies 15, that the effect of absorber removal is a CD shift of the same magnitude within all focal planes, it turns out that among our experimental results some do not show residual dependency of CD on focus. This had been noticed on previous compensation tests of natural defects, although to a lesser extent 6. One of the reasons could be that the assumptions used in the simulations do not describe accurately enough the propagation of the defect through the mirror as typical in reality. The defects repaired so far may have been more of the mixed amplitude and phase type (with a strong gradient in mirror distortion from substrate to surface) than of the purely phase type (where the mirror distortion would be almost constant over the whole thickness). This can explain that an absorber edit as shown here succeeds in restoring the optical properties, at least in best focus. These results show that printable multilayer pits and bumps can both be successfully compensated. Proc. of SPIE Vol. 8522 85221M-6

BF- I OOnm BF-50nm BF BF+SOnm BF+IOOnm Before repair (wafer) After repair (wafer) m'i Figure 6. Demonstration of a successful through-focus compensation repair on a natural ML pit in 40 nm L&S. (Top) Reticle AFM imaging of the defect before and after repair (performed with two different AFM tips, which yielded different cross-sectional edge profiles). (Top right) MeRiT top-view SEM imaging after repair. Notice that the ML pit is almost invisible. (Bottom) SEM views of the ADT-printed wafers before and after repair, at different focus positions around best focus (BF), as a reminder to a previous publication 6 yet now including new AFM visualization results. Wafer before repair Wafer after repair BF -100 nm BF ft0 nml IÌÑIII ñìîìì Ì 11111111 [tlll lull IIF II111e Figure 7. Demonstration of another successful through-focus compensation repair on a natural ML bump in 40 nm L&S. (Top) Reticle AFM imaging of the defect before and after repair (performed with two different AFM tips, which yielded different cross-sectional edge profiles). (Top right) MeRiT top-view SEM imaging after repair. Notice that the ML bump is almost invisible. (Bottom) SEM views of the ADT-printed wafers before and after repair, at different focus positions around best focus (BF). Proc. of SPIE Vol. 8522 85221M-7

As for the 27 & 25 nm multinode reticle, four ML-based defects could be identified (3 in the 27 nm L&S, and 1 in the 25 nm area, by correlating inspection results from Lasertec M1350 blank inspection, patterned mask inspection and inspection of wafers printed at NXE:3100 data). These defects were found only by wafer inspection. They printed as micro-bridges, which was interpreted as an indication that they might be ML defects. In reticle SEM review, all 4 defects showed only a faint contrast. AFM measurements were necessary for accurate defect localization in the spaces. All 4 defects turned out to be ML bumps with 4 to 6 nm heights and typically 80 nm full widths at bottom. E-beam compensation repair was then conducted on all 4 defects. An example is shown in figure 8. NXE3100 wafer SEM ReticIP qfnn Reticle AFM After repair reticle SEM Reticle AFM NXE3100 wafer SEM Figure 8. Visualization and compensation of a natural EUV ML defect in 27 nm L&S. (Top line) NXE:3100 wafer print, reticle SEM and reticle AFM reveal a SEM-invisible, 6 nm high ML bump printing as a micro-bridge. (Bottom) Compensation repair test result by SEM, AFM and wafer print result (see text). As can be seen from fig. 8, the positioning accuracy of the repair shape over the SEM-invisible defect is excellent thanks to the AFM input. The repair quality itself is good, with sharp absorber walls and no noticeable deformation of the mirror surface. Despite this, the defect still prints as an extension, yet clearly less than before repair. Several reasons can be invoked for the incomplete compensation at this first try. First of all, no information is available about the defect geometry below the mirror surface, so that the compensation repair shape must be designed based on assumptions of the defect propagation (in width and height along the mirror thickness). 6 In this case, some of the assumptions may be wrong. We expect the propagation assumptions to become more realistic in future with the availability of AIMS TM EUV. Previously published simulations indicated that uncovering an ML defect that is located partially under the absorber pattern before compensation, potentially can make the defect print more and limit the repair process window. 9 Due to its finite process latitude, the compensation repair process must be considered only as a safety net against the smaller ML distortions. The authors are convinced that the main effort of the mask supply chain must remain on ensuring that all printable blank defects can be detected on the blank before the mask is patterned. A further relevant parameter is the illumination setting used for wafer printing. Here, DISAR 0.4/0.8 (inner/outer sigma respectively), with disar angle 60 were used to better resolve the narrow structures down to 25 nm l/s across the exposure field. In our previous tests down to 32 nm HP, conventional illumination with sigma 0.8 had been used. 18 The shape for the compensational repair was calculated for conventional illumination settings with sigma 0.8 (see figure 9), and appeared to be less appropriate for DISAR illumination. Double-checking by additional printing with conventional Proc. of SPIE Vol. 8522 85221M-8

illumination, the compensational repair was found fully successful in that case. This finding could be confirmed by simulation. Wafer print SEM Simfion: NA 0.25, sigma: 0.8 Without compensation - 1 ' Without compensation Simulation: Disar 0.4/0.8/60 deg With çomp nsatmon After repair reticle SEM Mr Simulation: NA 0.25, sigma: 0.8 Simulation: Disar 0.4/0.8/60 deg Figure 9. Demonstration by simulation of the effect of illumination settings on the printing behavior of an ML defect, mimicking that of fig. 8 in best focus. (Top) Simulation outcome for the uncompensated defect with two sets of NXE:3100 settings ( conventional, NA 0.25, sigma 0.8; vs. DISAR NA 0.25, disar 60, sigma 0.4-0.8), as compared with the experimental wafer print. (Bottom) Simulation outcome of the same structure after compensation, as compared to corresponding experimental wafer prints. Simulations performed with Synopsys Sentaurus Litho (EUV). An obvious requirement for the quality of future EUV blanks is the further reduction in ML defect density and the maximal defect size as the technology half-pitch continues to decrease and as the illumination settings shift to off-axis. It is essential to consider the illumination settings before calculating the repair shape. Otherwise the process window gets too narrow for performing successful compensational repairs. To better judge the printability of the defects a closed-loop approach with the AIMS EUV tool is presently under development. 16 5. SUMMARY, CONCLUSIONS Following up from previous our previous publications the present contribution shows the performance of the MeRiT HR mask repair tool to repair natural EUV defects on again even smaller half-pitch reticles (27 nm and 25 nm). The reported work includes both classic absorber-level defects and EUV-specific multilayer-level defects. The e-beam mask repair technique is ready to repair both classes of defects. Successful repairs are presented for both cases. The need for AFM input for the successful compensation of multilayer-based defects is highlighted. To cover this need, an optional AFM system is implemented in the tool. A series of natural absorber-based defects is reviewed by SEM, AFM, and EUV printing. Successful e-beam-based repair and compensation is demonstrated on significant numbers of typical EUV-specific natural defects on 27 nm and 25 nm pitches. The results are qualified under state-of-the-art lithographic imaging conditions on the NXE:3100. It is demonstrated that for a successful compensational repair the illumination settings must be taken into account. In summary, the e-beam mask repair technique has been demonstrated as a door opener for defect free EUV reticles. Proc. of SPIE Vol. 8522 85221M-9

REFERENCES [1] Van den Heuvel, D., Jonckheere, R., Magana, J., Abe, T., Bret, T., Hendrickx, E., Cheng, S. and Ronse, K., Natural EUV mask blank defects: evidence, timely detection, analysis and outlook, Proc. SPIE 7823, 78231T (2010). [2] Burns, J. and Abbas, M., EUV mask defect mitigation through pattern placement, Proc. SPIE 7823, 782340 (2010). [3] Waiblinger, M., Kornilov, K., Hofmann, T. and Edinger, K., EMLC 2010 best paper: E-beam induced EUV photomask repair: a perfect match, Proc. SPIE 7823, 782304 (2010). [4] Hau-Riege, S.P., Barty, A., Mirkarimi, P.B., Baker, S., Coy, M.A., Mita, M., Robertson, E., Liang, T. and Stivers, A., Repair of phase defects in extreme-ultraviolet lithography mask blanks, J. Appl. Phys. 96 (11), 6813-6821 (2004). [5] Kadaksham, A.J., Laursen, T., Owen, T., Underwood, J. and Rastegar, A., Surface modification of extremeultraviolet lithography mask blanks by e-beam, J. Micro/Nanolith. MEMS MOEMS 10(4), 043015 (2011). [6] Jonckheere, R., Bret, T., Van den Heuvel, D., Magana, J., Gao, W. and Waiblinger, M., Repair of natural EUV reticle defects, Proc. SPIE 8166, 81661G (2011). [7] Jonckheere, R., Van den Heuvel, D., Hendrickx, E., Ronse, K., Bret, T., Hofmann, T., Magana, J., Aharonson, I. and Meshulach, D., Evidence of printing blank-related defects on EUV masks, missed by blank inspection, BACUS News, vol. 27, issue 5 (2011). [8] Erdmann, A., Evanschitzky, P., Shao, F., Fühner, T., Lorusso, G.F., Hendrickx, E., Goethals, M., Jonckheere, R., Bret, T. and Hofmann, T., Predictive modeling of EUV-Lithography: the role of mask, optics, and photoresist effects, Proc. SPIE 8171, 81710M (2011). [9] Jonckheere, R., Van den Heuvel, D., Lamantia, M., Hermans, J., Hendrickx, E., Goethals, A., Vandenberghe, G., and Ronse, K., Investigation of mask defect density in full-field EUV lithography, International Symposium on EUV lithography, Prague (2009). [10] Jonckheere, R., Van den Heuvel, D., Bret, T., Hofmann, T., Magana, J., Aharonson, I., Meshulach, D., Hendrickx, E. and Ronse, K., Evidence of printing blank-related defects on EUV masks, missed by blank inspection, Proc. SPIE 7985, 79850W (2011). [11] Jonckheere, R., Van den Heuvel, D., Bret, T., Hofmann, T., Magana, J., Aharonson, I., Meshulach, D., Hendrickx, E. and Ronse, K., Additional evidence of EUV blank defects first seen by wafer printing, Proc. SPIE 8166, 81660E (2011). [12] Ray-Chaudhuri, A.K., Cardinale, G., Fisher, A., Yan, P.-Y. and Sweeney, D.W., Method for compensation of extreme-ultraviolet multilayer defects, J. Vac. Sci. Technol. B 17(6), 3024-3028 (1999). [13] Edinger, K. et al., A novel electron-beam-based photomask repair tool, Proc. SPIE 5256, 1222 (2003). [14] Garetto, A., Oster, J., Waiblinger, M. and Edinger, K., Increasing mask yield through repair yield enhancement utilizing the MeRiT, Proc. SPIE 7545, 75450H (2010). [15] Clifford, C.H., Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects, Ph.D. script, University of California at Berkeley (2010). [16] Hellweg, D., Weiss, M., Perlitz, S., Peters, J.-H., Harnisch, W. and Goldstein, M., Closing the infrastructure gap Status of the AIMS EUV Project, Proc. SPIE 8322, p. in press (2012). [17] Wu, B. and Kumar, A., Extreme ultraviolet lithography: a review, J. Vac. Sci. Technol. B 25 (6), pp. 1743 1761, 2007. [18] Bret, T., Jonckheere, R., Van den Heuvel, D., Baur, C., Waiblinger, M., and Baralia, G., Closing the gap for EUV mask repair, Proc. SPIE 8322, 83220C-1 (2012). [19] Waiblinger, M., Jonckheere, R., Bret, T., Van den Heuvel, D., Baur, C., and Baralia, G., The door opener for EUV mask repair, Proc. SPIE 8441-47 (2012). Proc. of SPIE Vol. 8522 85221M-10