Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Similar documents
Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Gas utilization in remote plasma cleaning and stripping applications

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Silicon etching in NF 3 /O 2 remote microwave plasmas

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

The chemistry of a CCl 2 F 2 radio frequency discharge

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Section 3: Etching. Jaeger Chapter 2 Reader

Secondary Ion Mass Spectrometry (SIMS)

ETCHING Chapter 10. Mask. Photoresist

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Reactive Ion Etching (RIE)

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

DOE WEB SEMINAR,

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Atomic layer deposition of titanium nitride

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Etching: Basic Terminology

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

vacuum analysis plasma diagnostics surface science gas analysis

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Feature-level Compensation & Control

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

ABSTRACT. Professor Gottlieb S. Oehrlein, Department of Material Science and Engineering and Institute for Research in Electronics and Applied Physics

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

Chapter 7 Plasma Basic

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

Plasma atomic layer etching using conventional plasma equipment

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

Photoemission Spectroscopy

Wet and Dry Etching. Theory

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Study of DC Cylindrical Magnetron by Langmuir Probe

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

Chapter 7. Plasma Basics

FINAL REPORT. DOE Grant DE-FG03-87ER13727

Plasma Deposition (Overview) Lecture 1

Deuterium and fluorine radical reaction kinetics on photoresist*

Chapter 6. Summary and Conclusions

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Repetition: Practical Aspects

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

Hysteresis-free reactive high power impulse magnetron sputtering

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials*

Appearance Potential Spectroscopy

Ma5: Auger- and Electron Energy Loss Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

Plasma polymers can be used to modify the surface chemistries of materials in a controlled fashion (without effecting bulk chemistry).

CHAPTER 6: Etching. Chapter 6 1

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

Plasma properties determined with induction loop probes in a planar inductively coupled plasma source

Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film

Determination of Electron Temperatures in Rare- Gases Plasma

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

ELECTRON-cyclotron-resonance (ECR) plasma reactors

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

FEASIBILITY OF IN SITU TXRF

Reactive Etching and Surface Damage

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Adjustment of electron temperature in ECR microwave plasma

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition

Electrical Discharges Characterization of Planar Sputtering System

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

Transcription:

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742-2115 Marcos Barela and Harold M. Anderson Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 Received 26 March 2002; accepted 28 October 2002; published 20 December 2002 Gas mixtures based on C 4 F 8 are promising for the development of high-performance SiO 2 plasma etching processes. Measurements of important gas phase species, thin film etching rates and surface chemistry changes were performed for inductively coupled plasmas fed with C 4 F 8 /Ar and C 4 F 8 /O 2 gas mixtures. The addition of Ar to C 4 F 8 causes a strong increase of the plasma density relative to that of pure C 4 F 8 by up to a factor of 4 at 90% Ar. For O 2 addition the changes in plasma density are small up to 90% O 2 relative to pure C 4 F 8. Infrared laser absorption spectroscopy was used to determine the absolute densities of neutral CF, CF 2 and COF 2 radical species as a function of the gas composition. The densities of CF and CF 2 were enhanced for certain operating conditions when Ar was added to C 4 F 8 as long as the amount of Ar remained below 20%. For instance, the partial pressure of CF was 0.1 mtorr for a 20 mtorr 1400 W source power discharge for pure C 4 F 8, and increased to 0.13 mtorr at 20% Ar. Above 20% Ar it decreased, roughly following the gas dilution. The CF 2 partial pressure was about 5 mtorr for the same conditions, and increased by about 10% at 20% Ar. Above 20% Ar the CF 2 partial pressure decreased roughly linearly with the amount of Ar added, to about 2 mtorr at 50% Ar. Of particular interest was the analysis of the difference in behavior of CF, CF 2 and COF 2 partial pressures over SiO 2 and Si surfaces, with and without rf bias power in the latter case a self-bias voltage of 100 V was used. For pure C 4 F 8 discharges at 20 mtorr and 1400 W inductive power without rf bias the partial pressures of CF, CF 2 and COF 2 radicals are comparable over SiO 2 and Si surfaces. Upon applying a rf bias, the CF 2 partial pressure over a SiO 2 surface is reduced much more strongly than for a Si surface. The overall reduction appears to be consistent with the relative SiO 2 /Si etch rate ratios observed for these conditions. These results indicate that CF 2 is consumed during the etching of SiO 2 and Si. We also measured fluorocarbon deposition rates without rf bias and etching rates of blanket SiO 2, silicon, resist and deposited fluorocarbon films as a function of the rf bias and feed gas composition. Important differences in the response of the etching rates of those materials upon the addition of O 2 and Ar to C 4 F 8 were observed. In particular, we show that the SiO 2 /Si and SiO 2 /resist etching selectivities can be doubled by adding up to 90% Ar to C 4 F 8, without inducing an unacceptably large reduction of the SiO 2 etching rate. The change in etch rate ratios is at least in part due to strong surface chemical changes seen for Ar-rich fluorocarbon gas mixtures. The surface chemical changes of Si and SiO 2 surfaces were investigated by real-time ellipsometry and x-ray photoelectron spectroscopy. A strong reduction of the fluorine content of the fluorocarbon steady-state layer and an increase in thickness is seen when up to 90% Ar was added to C 4 F 8, and this coincides with an increase of the SiO 2 /Si etching selectivity. The change in fluorocarbon surface chemistry can be explained by the strongly increased ion/neutral flux ratio that is characteristic of Ar-rich C 4 F 8 /Ar gas mixtures. 2003 American Vacuum Society. DOI: 10.1116/1.1531140 a Electronic mail: lixi@glue.umd.edu b Electronic mail: masanaga.fukasawa@jp.sony.com c Electronic mail: oehrlein@glue.umd.edu I. INTRODUCTION Fluorocarbon-based plasma etching of SiO 2 and low dielectric constant films is an important processing step in integrated circuit manufacturing. One of the most widely employed plasma sources for this is the inductively coupled plasma source. Process optimization in inductively coupled fluorocarbon plasmas has been found to be challenging due to the complexity of the fluorocarbon plasma etch mechanism. Gas mixtures based on c-c 4 F 8 are a promising etching chemistry for high-performance SiO 2 etching. Because of the usefulness of c-c 4 F 8 gas, ion fluxes and energies, 1 mechanisms of C 4 F 8 dissociation, 2 the molecular composition of deposited films, 3 and radical kinetics of polymer film deposition 4 in pure c-c 4 F 8 plasma have been investigated. Spatial distributions of absolute CF and CF 2 radical densities in electron cyclotron resonance ECR plasmas of c-c 4 F 8 were also studied. 5,6 SiO 2 etching and SiO 2 etching selectivities to silicon and Si 3 N 4 in pure c-c 4 F 8 plasma have been reported. 7 284 J. Vac. Sci. Technol. A 21 1, JanÕFeb 2003 0734-2101Õ2003Õ21 1 Õ284Õ10Õ$19.00 2003 American Vacuum Society 284

285 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 285 FIG. 1. Schematic of the inductively coupled plasma reactor used in this work. Frequently gas mixtures rather than pure fluorocarbon gases are employed for SiO 2 etching. 8,9 We study C 4 F 8 /Ar and C 4 F 8 /O 2 gas mixtures in this work. The addition of O 2 is thought to be useful for controlling the degree of surface polymerization and etching selectivity. The addition of Ar is thought to increase the importance of ion bombardment relative to neutral and direct reactive ion etching. We find that our results support this simple picture of the O 2 additive, but that in the case of Ar addition important gas phase and surface chemical changes occur that are related to the strong increase of the plasma density when the electropositive Ar is added to the electronegative fluorocarbon gas. II. EXPERIMENTAL SETUP AND PROCEDURES A. Inductively coupled plasma source The rf inductively coupled plasma ICP reactor of planar coil design used for these experiments is shown in Fig. 1 and is identical to that employed in previous work. 10,11 Briefly, the reactor consists of an ultrahigh vacuum UHV compatible processing chamber with a base pressure of 5 10 6 mtorr. The roof of the reactor is a 19.6 mm thick, 230 mm diam quartz window on which a 160 mm diam planar coil is located. The coil is powered by a 0 2000 W power supply at 13.56 MHz. Matching of the plasma source is achieved through a modified L-matching network configuration. This setup allows inductive plasma generation below the quartz window. All data were taken at matching network settings that allow the most inductive coupling to the system, resulting in minimal window erosion. The total gas flow into the reactor was set at 40 sccm at operating pressures of 6 and 20 mtorr. These pressures were obtained using an automatic throttle valve located at the exit of the pumping line of the reactor. The experimental data were obtained in a reactor that was preconditioned in the following fashion. First, a 40 sccm O 2 plasma was run at 1000 W inductive power and 10 mtorr operating pressure. This O 2 treatment served a dual purpose; 1 removal of fluorocarbon material deposited during prior experiments on the reactor wall and/or quartz window and 2 heating of the reactor walls to a stable temperature. 12 The reactor cleanliness during the O 2 preconditioning step was monitored using optical emission spectroscopy and quadrupole mass spectrometry. After O 2 pretreatment had been completed, a set of measurements was performed in such a fashion that experiments at conditions without deposition on the quartz coupling window preceded experiments with deposition on the window. It is of importance to perform experiments consistently in this fashion, because of hysteresis effects that may otherwise occur. The wafers that were processed are located on a wafer holder that was cooled to 10 C. The distance between the wafer and the induction coil is 8.5 cm. The chuck is powered through a -matching network from a variable frequency 0.4 40 MHz rf power supply 0 300 W. This setup allows biasing of the wafer to be independent of the plasma generated, thus enabling control of the ion energy that is separate from plasma generation. For experiments in this project the sample bias power was varied up to a maximum of 250 W at a fixed frequency of 3.7 MHz. The self-bias voltage was measured using a high-voltage wafer probe connected to an oscilloscope and for all gas mixing experiments it was controlled to 100 V. B. Diagnostics The experimental results given in this article are based on Langmuir probe measurements, real-time, in situ ellipsometry fluorocarbon deposition rates, thin film etching rates, and characterization of modified surface layers, infrared absorption measurements of CF, CF 2 and COF 2 radicals, and x-ray photoelectron spectroscopy analysis of plasma-etched surfaces. 1. Ellipsometry Samples placed at the center of the wafer can be monitored by an in situ He Ne 632.8 nm rotating compensator ellipsometer RCE in a polarizer-compensator-sampleanalyzer PCSA configuration. 13 He Ne ellipsometry is used for real-time etch and deposition rate measurements and monitoring of surface modifications on semi-infinitely thick Si substrates. 2. Infrared laser absorption spectroscopy The infrared laser absorption spectroscopy IRLAS setup used in this work is a homemade setup that was established at the University of New Mexico. The infrared laser in this setup is a liquid nitrogen cooled lead-salt diode laser Laser Photonics. Using a setup of various planar and elliptical mirrors that were positioned on an optical table and on the reactor, an infrared laser beam is double passed through the reactor and focused onto a fast photovoltatic HgCdTe liquid nitrogen cooled detector. The windows on the reactor through which the infrared laser beam is transmitted are made of barium fluoride (BaF 2 ), which is transparent in the wavelength/wave number range of interest. The windows are JVST A - Vacuum, Surfaces, and Films

286 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 286 mounted 5 off axis to prevent multiple reflection effects. In order to align the optical setup, a He Ne laser, that was coaligned with the diode laser, is used. The wavelength/wave number at which the laser emits light is dependent on the temperature of and the current through the diode. The laser temperature is adjusted using a temperature controller DRC-91CA, LakeShore. The laser current is regulated using a current controller LDX-3525, ILX Lightwave, and is ramped at 50 Hz to sweep the laser emission over an approximately 0.2 cm 1 frequency/wave number range. Wavelength/wave number calibration is performed by inserting a reference gas into the reactor. In this work, ammonia (NH 3 ) was used as the reference gas since it has absorption lines of known line strength at known wave numbers in the range of interest. In order to increase the sensitivity of the IRLAS method, a wavelength modulation approach is employed. The 50 Hz sweep signal which selects the wavelength/wave number emitted is modulated with a small 50 khz sinusoidal wave. The signal detected, which will be modulated with the same 50 khz frequency, is fed into a lock-in amplifier SR 830 DSP, Stanford Research Systems. The lock-in amplifier was set to trigger the second harmonic of the modulation frequency, i.e., 100 khz. The signal demodulated by the lock-in amplifier is displayed on a storage oscilloscope that is interfaced to a computer that is used for data collection. The data collected in the above fashion essentially represent the second derivative of the absorption spectrum. This approach and the methodology of analysis have been described by Schaepkens et al. 14 3. X-ray photoelectron spectroscopy Detailed surface chemical information for etched crystalline silicon and SiO 2 samples was obtained using x-ray photoelectron spectroscopy XPS. After real-time ellipsometry surface analysis, the inductive power and, within 1 s, the rf bias power, were turned off. This procedure minimizes changes in surface modification that are present during the etching process. The samples were transferred to a Vacuum Generators ESCA Mk II analysis chamber. Photoelectrons were emitted using a nonmonochromatized Mg K x-ray source 1253.6 ev. Survey spectra and high resolution spectra of C(1s), Si(2p), F(1s) and O(1s) electron emission were obtained under two emission angles, 70 and 0 with respect to the sample normal. The spectra presented in this article were obtained at 20 ev pass energy. III. RESULTS AND DISCUSSION A. Ion currents Figure 2 shows the dependence of the ion current density on the amount of Ar and O 2 added to C 4 F 8. The plasma was operated at 1400 and 600 W inductive power, pressures of 6 and 20 mtorr and total gas flow of 40 sccm. The ion current was measured using a Langmuir probe. A significant increase FIG. 2. Dependence of the ion current density on Ar and O 2 added to C 4 F 8 at 1400 a and 600 W b rf power, 6 and 20 mtorr process pressure and total 40 sccm gas flow. The ion current was measured using a Langmuir probe. in the ion current is observed when Ar is added atomic and electropositive gas. On the other hand, the ion current remains almost unchanged when O 2 is added. B. Infrared laser absorption measurements Figure 3 shows second derivative IRLAS spectra obtained with inductively coupled plasmas at 1400 W inductive power and 6 and 20 mtorr operating pressure fed with 40 sccm of C 4 F 8. For comparison the spectrum obtained with NH 3 gas at 30 mtorr no plasma is also shown. The peak position of the different species is indicated. It can be observed that at this condition there is a large variation in CF and CF 2 absorption for different pressures. Figure 4 shows second derivative IRLAS spectra obtained with inductively coupled plasmas at 1400 W inductive power and 20 mtorr operating pressure fed with 40 sccm of 20% C 4 F 8 /O 2 and C 4 F 8 /Ar plasmas and NH 3 gas at 30 mtorr. Figure 4 demonstrates the relative magnitude of the changes in CF, CF 2 and COF 2 intensity as we switch from an Ar additive to an O 2 additive. Figures 5 7 show the densities of CF, CF 2 and COF 2 calculated from absorption spectra obtained with C 4 F 8, J. Vac. Sci. Technol. A, Vol. 21, No. 1, JanÕFeb 2003

287 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 287 FIG. 3. Second derivative absorption spectra in C 4 F 8 plasmas at 40 sccm gas flow, 1400 W inductive power and 6 and 20 mtorr operating pressures. For comparison, the spectrum obtained with 30 mtorr NH 3 gas no plasma is shown. C 4 F 8 /O 2 and C 4 F 8 /Ar plasmas operated at a total gas flow of 40 sccm, 20 mtorr pressure, and 600 and 1400 W inductive power as a function of the amount of O 2 or Ar added, respectively. The labels fluorocarbon deposition, fluorocarbon etch and Si etch shown in Figs. 5 7, respectively, refer to the following operating conditions: For fluorocarbon deposition Fig. 5 no sample bias was applied and fluorocarbon film deposition took place on the sample. For fluorocarbon etch Fig. 6 a sample bias of 100 V was applied and the passively deposited fluorocarbon film was removed. For Si etch Fig. 7 a sample bias of 100 V was applied and steady-state Si etching took place. We note from Figs. 5 7 that the densities of CF and CF 2 are higher with the Ar additive than with the O 2 additive. Small amounts of Ar added to a C 4 F 8 plasma can enhance the CF and CF 2 radical densities relative to those of pure C 4 F 8 for FIG. 5. Densities of CF, CF 2 and COF 2 calculated from absorption spectra obtained at 40 sccm gas flow for 20 mtorr pressure and 600 and 1400 W inductive power for the C 4 F 8 /O 2 and C 4 F 8 /Ar fluorocarbon deposition process as a function of the percentage of O 2 and Ar added. 1400 W source power. The CF 2 partial pressure is about an order of magnitude greater than that of CF. A comparison of Figs. 5 b and 6 b shows that adding rf bias causes a reduction in CF 2 for C 4 F 8 /Ar discharges. We will show below that Ar addition to C 4 F 8 is useful for highly selective SiO 2 etching processes since it enhances the selectivity of SiO 2 etching relative to silicon and resist etching. FIG. 4. Second derivative absorption spectra of C 4 F 8 /20% O 2 and C 4 F 8 /20% Ar plasmas at 40 sccm gas flow, 1400 W inductive power and 20 mtorr operating pressure, and 30 mtorr NH 3 reference gas no plasma. FIG. 6. Densities of CF, CF 2 and COF 2 calculated from absorption spectra obtained at 40 sccm gas flow for 20 mtorr pressure and 600 and 1400 W inductive power for the C 4 F 8 /O 2 and C 4 F 8 /Ar fluorocarbon etching process as a function of the percentage of O 2 and Ar added. JVST A - Vacuum, Surfaces, and Films

288 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 288 FIG. 9. Fluorocarbon deposition rate vs percentage of O 2 and Ar at 40 sccm gas flow, 20 mtorr pressure and 600 and 1400 W inductive power for C 4 F 8 /O 2 and C 4 F 8 /Ar plasmas. FIG. 7. Densities of CF, CF 2 and COF 2 calculated from absorption spectra obtained at 40 sccm gas flow for 20 mtorr pressure and 600 and 1400 W inductive power for the C 4 F 8 /O 2 and C 4 F 8 /Ar Si etching process as a function of the percentage of O 2 and Ar added. The COF 2 results show very different trends for O 2 and Ar additives. The COF 2 density initially decreases when small amounts of O 2 are added to C 4 F 8, and then clearly increases as the amount of O 2 is raised above 20%. On the other hand, the COF 2 density increases slightly and then decreases slightly as the amount of Ar in C 4 F 8 /Ar is raised. Figure 8 shows the influence of the thin film material in contact with the plasma on the densities of CF, CF 2 and COF 2 calculated from the absorption spectra. The data were obtained with C 4 F 8,C 4 F 8 /30% O 2 and C 4 F 8 /30% Ar plasmas operated at 40 sccm gas flow, 20 mtorr pressure and 1400 W inductive power for Si and SiO 2 surfaces, respectively. An important result shown in Fig. 8 is a significant reduction of CF 2 for C 4 F 8 and C 4 F 8 /30% Ar discharges over a SiO 2 surface when a rf bias is applied. The reduction of CF 2 is characteristic of the SiO 2 surface and not seen to this extent for the Si surface. C. Fluorocarbon deposition and etching data In fluorocarbon gas mixtures, fluorocarbon deposition on surfaces occurs along with fluorocarbon etching. To provide insight as to the relative changes of these processes with the gas composition, the fluorocarbon deposition and etching rates were determined as a function of the gas additive. Figures 9 and 10 display the fluorocarbon deposition and etching rates without rf bias and 100 V self-bias voltage, respectively. Figure 9 shows the fluorocarbon deposition rate versus O 2 and Ar gases added for 40 sccm gas flow, 20 mtorr pressure, FIG. 8. Comparison of the densities of CF, CF 2, and COF 2 calculated from absorption spectra obtained with 40 sccm total gas flow, 20 mtorr pressure, and 1400 W inductive power for C 4 F 8, C 4 F 8 /30% O 2, and C 4 F 8 /30% Ar plasmas. Either Si or SiO 2 with etching or without fluorocarbon deposition rf bias that produced 100 V self-bias voltage was used. J. Vac. Sci. Technol. A, Vol. 21, No. 1, JanÕFeb 2003

289 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 289 FIG. 10. Fluorocarbon etching rate as a function of the percentage of Ar and O 2 added to C 4 F 8 at 600 and 1400 W inductive power, 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. and 600 and 1400 W inductive power C 4 F 8 -based plasmas. The fluorocarbon deposition rate is always higher with the Ar additive than with the O 2 additive. We observe an overall trend towards lower fluorocarbon deposition rates as Ar and O 2 are added to C 4 F 8 at 600 W inductive power and 20 mtorr processing pressure. This is expected because of the reduced supply of C 4 F 8 molecules to the reactor as increasing proportions of Ar and O 2 are added to C 4 F 8. The deposition rate vanishes when more than 50% O 2 was added. The deposition rates are higher for 1400 W inductive power than for 600 W inductive power. In addition, at low proportions of Ar in C 4 F 8 /Ar, an increase in the fluorocarbon deposition rate is observed relative to in pure C 4 F 8 for 1400 W inductive power. This effect is also seen for O 2 addition, although it is weaker. At 600 W inductive power this increase is not observed. The comparison of the Ar and O 2 gas addition experiments indicates that both ion bombardment enhanced fluorocarbon deposition and oxidation of fluorocarbon at the substrate surface appear to be important in explaining these data. The fluorocarbon deposition rates as a function of the amount of additive show similar trends as the densities of the CF and, to a lesser extent, CF 2 radicals with O 2 and Ar gas additives. This supports the notion that CF and CF 2 radicals play an important role in the fluorocarbon deposition process. Because of the different capabilities of etching SiO 2,Si and resist surfaces in gasifying deposited fluorocarbon films, the above processes will influence the SiO 2 /Si and SiO 2 /resist etch selectivity that can be achieved. Figure 10 shows the corresponding fluorocarbon etching rates at 100 V self-bias voltage. At low inductive power 600 W, the etching rates increase gradually for both O 2 and Ar. Above 70% O 2, the etch rate increases more rapidly in the case of O 2. For Ar a monotonic decrease of the etch rate is measured with an increase in Ar content above 70%. At high inductive power 1400 W, the behavior is quite different: When Ar is added, a monotonic decrease of the etching rate is measured for all Ar levels. For O 2 addition a small FIG. 11. SiO 2 etching rate vs rf bias power a and self-bias voltage b using C 4 F 8. Total gas flow: 40 sccm; inductive power: 600 and 1400 W; pressure: 20 mtorr. decrease of the etching rate is observed when up to 40% O 2 is added. When more than 60% O 2 is added, the fluorocarbon etching rate more than doubles relative to that of pure C 4 F 8. D. Effects of adding Ar and O 2 to C 4 F 8 on SiO 2 and Si etching 1. SiO 2 etching behavior Figure 11 shows the SiO 2 etching rate measured as a function of rf bias power and self-bias voltage for pure C 4 F 8 at 600 and 1400 W inductive power, 20 mtorr pressure and total gas flow of 40 sccm. It is well known that when plotting the etching rate of SiO 2 as a function of rf bias power in high-density fluorocarbon plasmas, three different etching regimes can be distinguished. At low rf powers, net fluorocarbon deposition takes place. As the rf bias power is increased, the deposition rate decreases rapidly due to etching fluxes coming off the surface until the threshold of net substrate etching is reached. At this point the deposition flux at the surface is equal to the etching flux. Once the bias voltage is JVST A - Vacuum, Surfaces, and Films

290 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 290 FIG. 12. SiO 2 etching rate at 100 V self-bias power as a function of the percentage of Ar and O 2 added to C 4 F 8 at 600 and 1400 W inductive power and 20 mtorr processing pressure. increased beyond this value, etching becomes greater than deposition, and net substrate etching takes place. The etch rate increases rapidly with an increase of rf bias power. In this fluorocarbon suppression regime, steady-state etching of the oxide occurs through a fluorocarbon layer which is present on the SiO 2 surface. 15 Since the steady-state fluorocarbon film is comparable to the typical ion penetration depth at these ion energies 1 2 nm, the SiO 2 chemical sputtering rate is suppressed, and the etch rate of SiO 2 is strongly dependent on the thickness of the fluorocarbon film. At high enough self-bias voltages the fluorocarbon film is so thin that direct ion induced chemical etching dominates the etching of SiO 2. The behavior data of the etch rate in Fig. 11 are representative of the qualitative behavior of the etching rates of other materials as a function of the rf bias as well. The absolute magnitude of the etching rate in the high-voltage regime varies strongly according to the material, and reflect differences in thickness of the steady-state fluorocarbon films present during etching on the surfaces of these materials. In the following we report the etching rates of different materials as a function of the gas composition. For the comparison of the etching rates using different gas mixtures, we employed a fixed self-bias voltage of 100 V. Subsequently, Si and SiO 2 surface analysis data obtained for the same conditions will be reported. 2. Variation of the SiO 2 etching rate with Ar and O 2 addition The dependence of the SiO 2 etching rates at a fixed selfbias voltage of 100 V on the gas composition in C 4 F 8 /Ar and C 4 F 8 /O 2 gas mixtures at 20 mtorr pressure and inductive powers of 600 and 1400 W is shown in Fig. 12. This etching condition is above the fluorocarbon suppression regime. We see that the etching rate ER is greater with the Ar gas additive than with the O 2 gas additive for both 600 and 1400 W inductive power. Whereas at 1400 W power a monotonic decrease of the SiO 2 ER is seen for both Ar and O 2 FIG. 13. Silicon etching rate as a function of the percentage of Ar and O 2 added to C 4 F 8. Experimental conditions: 600 and 1400 W inductive power, 20 mtorr processing pressure, 40 sccm gas flow and 100 V self-bias voltage. additives, at 600 W inductive power, for both the O 2 and Ar additives, the etching rate increases for low additive percentages. In the case of the O 2 gas additive the etch rate drops off monotonically with an increase of O 2 above 40%. For Ar the SiO 2 etch rate continues to increase above 40% gas addition and only starts to decrease when the Ar content is 70% and greater. Overall, we conclude that for C 4 F 8 /Ar the SiO 2 etching rate remains high up to rather high Ar concentrations, whereas for C 4 F 8 /O 2 gas mixtures a decrease of the SiO 2 etching rates occurs for smaller amounts of O 2 added. 3. Variation in polycrystalline Si etching rate with Ar and O 2 additions Figure 13 shows polysilicon etching rates as a function of the gas composition. For both C 4 F 8 /Ar and C 4 F 8 /O 2 a monotonic increase of the Si etching rates with an increase in the proportion of Ar or O 2 is seen up to 50% for either gas additive at 600 W inductive power. Above 50% 60% gas addition, the Si etching rates decrease. For C 4 F 8 /O 2 a stronger increase of the Si etching rate is observed as a function of gas addition than for C 4 F 8 /Ar. The etch rate at 50% O 2 is 3 that measured for pure C 4 F 8. At 1400 W inductive power, the Si etch rate shows a similar trend as a function of the amount of O 2 added to that observed for C 4 F 8 /O 2 at 600 W inductive power. For C 4 F 8 /Ar gas mixtures and 1400 W source power the Si etch rate decreases monotonically with the Ar content. E. Effect of gas additives on resist etching Figure 14 shows the resist etching rate as a function of the gas composition. The etch rate trends are similar to those obtained with the fluorocarbon material. At a source power feed of 600 W, for both Ar and O 2 additives a slight increase of the resist etching rates is seen up to 40% gas addition. The etching rate increases sharply when the amount of O 2 is increased to above 40%. For Ar addition, the etching rate de- J. Vac. Sci. Technol. A, Vol. 21, No. 1, JanÕFeb 2003

291 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 291 FIG. 14. Resist etching rate as a function of the percentage of Ar and O 2 added to C 4 F 8 at 600 and 1400 W inductive power, 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. FIG. 16. Steady-state fluorocarbon film thickness on the silicon surface as a function of the percentage of Ar or O 2 added to C 4 F 8 at 600 and 1400 W inductive power, 6 and 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. creases when more than 70% Ar was added at 600 W source power. At 1400 W the resist etch rate decreases monotonically as the amount of Ar is increased. At all gas compositions, the resist etching rate in C 4 F 8 /Ar gas mixtures is lower than that for C 4 F 8 /O 2 gas mixtures. F. Etching selectivity In Fig. 15 the etching selectivities of SiO 2 /Si and SiO 2 /resist are shown as a function of the percentage of O 2 or Ar added to C 4 F 8. The addition of Ar leads to an increase of the SiO 2 /Si and SiO 2 /resist etching selectivities. The strong increase of the SiO 2 /resist etch rate ratio observed at FIG. 15. Etching selectivity of SiO 2 /Si and SiO 2 /resist as a function of the percentage of O 2 and Ar added to C 4 F 8 at 600 and 1400 W inductive power, 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. 90% Ar in C 4 F 8 appears useful since the SiO 2 etch rate at this condition is comparable to that measured for pure C 4 F 8 at 600 W source power. For O 2 addition, the SiO 2 /Si and SiO 2 /resist etching selectivities first decrease nearly universally as the amount of O 2 is increased. Only at a very high percentage of O 2 addition does the SiO 2 /Si etch rate ratio increase. This coincides with very low SiO 2 etching rates, and the SiO 2 /resist selectivity is dramatically lowered at high percentages of O 2 addition, thereby reducing the technological usefulness of this observation. G. Surface analysis data Section III F showed that the addition of Ar to C 4 F 8 enables increased SiO 2 /Si and SiO 2 /resist etching selectivity. To obtain information on changes in the surface condition and chemistry as a result of adding Ar, both real-time ellipsometry and subsequent XPS analysis were performed with plasma etched polycrystalline silicon and SiO 2 samples. The changes in silicon etching behavior reported above, in particular, are expected to be mirrored in the behavior of the steady-state fluorocarbon film thickness that exists during etching on the silicon surface. In Figure 16 we display the ellipsometrically measured net thickness of the transparent surface layer formed on Si during plasma etching shown by XPS to be a fluorocarbon layer except when a very high percentage of O 2 was added. The addition of Ar to C 4 F 8 leads to thicker steady-state fluorocarbon layers on Si, especially at higher percentages of added Ar. When O 2 up to 60% is added to C 4 F 8, a reduction in the fluorocarbon film thickness is observed. The increase in film thickness observed by ellipsometry at a higher percentage of O 2 added is attributed to the formation of SiO 2 on Si; the CF x deposition rate is zero when more than 50% O 2 is added; see Fig. 9. For XPS analysis, Si and SiO 2 samples were etched in pure C 4 F 8,C 4 F 8 /20% Ar, C 4 F 8 /40% Ar, C 4 F 8 /60% Ar, and JVST A - Vacuum, Surfaces, and Films

292 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 292 FIG. 17. Carbon 1s XPS spectra obtained with Si and SiO 2 etched for 1 min in different C 4 F 8 -based discharges. The photoelectrons were collected at a 90 angle. The samples were processed in pure C 4 F 8 /without rf bias deposition andinpurec 4 F 8,C 4 F 8 /20% Ar, C 4 F 8 /40% Ar, C 4 F 8 /60% Ar, and C 4 F 8 /80% Ar gas flow rates with 100 V self-bias voltage in each case. Other conditions: 600 W inductive power and 20 mtorr operating pressure. C 4 F 8 /80% Ar plasmas using 600 W inductive power, 20 mtorr pressure, 40 sccm gas flow rate and 100 V bias voltage for 1 min. XPS analysis performed with relatively thick 200 nm passively deposited fluorocarbon films quantified the surface contamination as approximately 1% oxygen and 0.5% silicon. The effect of oxygen on the etching process for C 4 F 8 /Ar discharges was therefore neglected. 10 Figure 17 shows high resolution C(1s) electron emission spectra obtained with specimens prepared in the manner described above. The spectrum at the bottom of Fig. 17 was obtained with a 300-nm-thick fluorocarbon layer deposited on a Si sample using a 20 mtorr pure C 4 F 8 plasma maintained at 600 W inductive power. The five C(1s) spectra above this trace were taken with crystalline silicon samples processed at a self-bias voltage of 100 V, but different Ar concentrations. The effect of Ar addition is clearly visible as a significant reduction in the fluorine content of the fluorocarbon layer. The fluorocarbon film thickness increases at the same time. In the top part of Fig. 17, spectra obtained with SiO 2 samples etched at two different gas compositions are shown. The comparison of the C(1s) data obtained with Si and SiO 2 surfaces after plasma etching in pure C 4 F 8 shows that whereas for a Si surface CF 2 is the dominant group, for SiO 2 C CF x is of highest intensity. This appears consistent with the strong difference in CF 2 gas phase concentration seen for these surfaces see Fig. 8. Figure 17 shows the highest C(1s) intensity at 80% Ar, suggesting a maximum of the CF x layer thickness on the Si surface and of the carbonaceous film thickness on the SiO 2 surface, respectively. This behavior is consistent with the ellipsometric analysis seen in Fig. 18. Using the analysis method of Ref. 10, a careful evaluation of the XPS spectra and comparison with the ellipsometry data show that both FIG. 18. Fluorocarbon layer thickness determined by ellipsometry and XPS. The experimental conditions are the same as those in Fig. 17. ellipsometry and XPS lead to consistent steady-state fluorocarbon film thickness results see Fig. 18. The change in the fluorine content of the fluorocarbon films by adding Ar to C 4 F 8 is presumably related to increased ion bombardment due to the higher plasma density of the C 4 F 8 /Ar discharge. Figure 19 shows the correlation between the F/C ratio decrease and the ion density increase. The SiO 2 /Si etching selectivity has been shown to be related to variation of the steady-state fluorocarbon film thickness on Si as process conditions are changed. This behavior is also seen here and is shown in Fig. 20. The selectivity increases with the fluorocarbon film thickness on the Si surface. For C 4 F 8 /Ar thicker fluorocarbon films are observed at high Ar percentages, and coincide with improved SiO 2 /Si etching selectivity. FIG. 19. Change curve of the F/C ratio of steady-state fluorocarbon films vs the ion current density for C 4 F 8 /Ar discharge. Experimental parameters: 600 W inductive power, 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. J. Vac. Sci. Technol. A, Vol. 21, No. 1, JanÕFeb 2003

293 Li et al.: Effects of Ar and O 2 additives on SiO 2 etching 293 amount of Ar added to C 4 F 8 was increased to 80%, a strong reduction of the fluorine content of this fluorocarbon layer and a concomitant increase of its thickness were observed. This increases the etching resistance of the steady-state fluorocarbon layer, and makes the increase in SiO 2 /Si etching selectivity seen for Ar-rich C 4 F 8 /Ar gas mixtures understandable. FIG. 20. SiO 2 /Si etch rate ratio as a function of the steady-state fluorocarbon film thickness on silicon measured for the same experimental conditions. Experimental conditions: 600 W inductive power, 20 mtorr pressure, 40 sccm gas flow and 100 V self-bias voltage. IV. SUMMARY AND CONCLUSION A comparative gas-phase study of inductively coupled C 4 F 8,C 4 F 8 /O 2, and C 4 F 8 /Ar plasmas using infrared laser absorption spectroscopy has shown that the densities of CF and CF 2 are enhanced when small amounts of Ar are added to C 4 F 8. This increase appears to be related to the strong increase of the plasma density when Ar is added to C 4 F 8. The study of CF, CF 2, and COF 2 concentrations as a function of the amount of O 2 added to C 4 F 8 showed that the CF and CF 2 densities decreased, whereas that of COF 2 increased, except for the smallest amounts of O 2 added. In the latter case a slight increase of the CF and CF 2 densities was observed and this effect was also mirrored in the fluorocarbon deposition rate. We also examined the dependence of the etching rates of SiO 2, poly-si and resist on the amount of O 2 and Ar added to C 4 F 8 during high-density plasma etching. For a higher percent of Ar addition and 600 W inductive power, the SiO 2 etching rate remains acceptably high and the SiO 2 /Si and SiO 2 /resist etching selectivities increase. The addition of O 2 to C 4 F 8 reduces the SiO 2 /Si and SiO 2 /resist etching selectivity except for the highest levels of O 2, where the SiO 2 /Si selectivity increases. Both ellipsometry and XPS showed that during steadystate etching the silicon surface is covered by a relatively thick fluorocarbon layer that varies from 2 to 4 nm. When the ACKNOWLEDGMENTS The authors would like to acknowledge Air Products and Chemicals Inc. for providing high purity C 4 F 8 gas. They gratefully acknowledge support of this work by International SEMATECH and by the Department of Energy under Contract No. DE-FG0200ER54608. They would like to acknowledge Dr. W. L. Perry of Los Alamos National Laboratory for helpful discussions. They are also grateful to Jay Pyle for his support of their experimental setup at the Institute for Research in Electronics and Applied Physics, University of Maryland. 1 A. N. Goyette, Y. Wang, M. Misakian, and J. K. Olthoff, J. Vac. Sci. Technol. A 18, 2785 2000. 2 H. Hayashi, S. Morishita, T. Tatsumi, Y. Hikosaka, S. Noda, H. Nakagawa, S. Kobayashi, M. Inoue, and T. Hoshino, J. Vac. Sci. Technol. A 17, 2557 1999. 3 K. Takahashi and K. Tachibana, J. Appl. Phys. 89, 893 2001. 4 K. Takahashi, A. Itoh, T. Nakamura, and K. Tachibana, Thin Solid Films 374, 303 2000. 5 M. Nakamura, H. Nakayama, M. Ito, M. Hori, T. Goto, A. Kono, and N. Ishii, Jpn. J. Appl. Phys., Part 2 38, L1469 1999. 6 M. Nakamura, M. Hori, T. Goto, M. Ito, and N. Ito, J. Vac. Sci. Technol. A 19, 2134 2001. 7 G. S. Oehrlein, M. F. Doemling, B. E. E. Kastenmeier, P. J. Matsuo, N. R. Rueger, M. Schaepkens, and T. E. F. M. Standaert, IBM J. Res. Dev. 43, 181 1999. 8 M. Matsui, T. Tatsumi, and M. Sekine, J. Vac. Sci. Technol. A 19, 2089 2001. 9 M. Matsui, T. Tatsumi, and M. Sekine, J. Vac. Sci. Technol. A 19, 1282 2001. 10 T. E. F. M. Standaert, M. Schaepens, N. R. Rueger, P. G. M. Sebel, J. M. Cook, and G. S. Oehrlein, J. Vac. Sci. Technol. A 16, 239 1998. 11 X. Li, M. Schaepkens, R. E. Ellefson, L. C. Frees, N. Mueller, N. Korner, and G. S. Oehrlein, J. Vac. Sci. Technol. A 17, 2438 1999. 12 M. Schaepkens, R. C. M. Bosch, T. E. F. M. Standaert, G. S. Oehrlein, and J. M. Cook, J. Vac. Sci. Technol. A 16, 2099 1998. 13 R. M. A. Azzam and N. M. Bashara, Ellipsometry and Polarized Light North-Holland, Amsterdam, 1997. 14 M. Schaepkens, I. Martini, E. A. Sanjuan, X. Li, G. S. Oehrlein, W. L. Perry, and H. M. Anderson, J. Vac. Sci. Technol. A 19, 2946 2001. 15 N. R. Rueger, J. J. Beulens, M. Schaepkens, M. F. Doemling, J. M. Mirza, T. E. F. M. Standaert, and G. S. Oehrlein, J. Vac. Sci. Technol. A 15, 1887 1997. JVST A - Vacuum, Surfaces, and Films