Cost Implications of EUV Lithography Technology Decisions

Similar documents
Cost of Ownership Considerations for Maskless Lithography

Overview of EUV Lithography and EUV Optics Contamination

SEMATECH Knowledge Series 2010

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUVL Readiness for High Volume Manufacturing

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

EUV Lithography Towards Industrialization

SHRINK. STACK. INTEGRATE.

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Lecture 14 Advanced Photolithography

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Impact of Pellicle on Overlay in Double Patterning Lithography

Progress on ASML s EUV Alpha Demo Tool

Status of EUV Sources for Mask Metrology

EUV Lithography Status and Key Challenges for HVM Implementation

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

EUV lithography industrialization for HVM

Chromeless Phase Lithography (CPL)

Sensors and Metrology. Outline

Critical Dimension Uniformity using Reticle Inspection Tool

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

MICRO AND NANOPROCESSING TECHNOLOGIES

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Actinic review of EUV masks: First results from the AIMS EUV system integration

Figure 1 below shows the generic process flow of an LELE method of double patterning.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Photolithography II ( Part 1 )

RS 1700/1900/2300/2900 High Density Reticle Stockers

A Reticle Correction Technique to Minimize Lens Distortion Effects

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Optical Proximity Correction

Lecture 8. Photoresists and Non-optical Lithography

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Development status of back-end process for UV-NIL template fabrication

We published the text from the next page.

High Optical Density Photomasks For Large Exposure Applications

Resist material for negative tone development process

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Nanoimprint Lithography

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

IEUVI Mask Technical Working Group

EUV Mask Carrier & Load Port Standards Workshop Overview

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

SMP625 Product Specifications

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Techniken der Oberflächenphysik (Techniques of Surface Physics)

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

The study for image placement repeatability of EUV mask on the flat chuck

Analysis of carbon contamination on EUV mask using CSM/ ICS

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

2016 Daniel Tyler Elg

Litho scenario solutions for FinFET SRAM 22nm node

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Competitive Semiconductor Manufacturing

Three Approaches for Nanopatterning

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

EV Group. Engineered Substrates for future compound semiconductor devices

Resist-outgas testing and EUV optics contamination at NIST

Characterization of Optical Proximity Correction Features

193 nm STEP AND SCAN LITHOGRAPHY

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

EUREKA: A new Industry EUV Research Center at LBNL

Important challenge for the extension of Spacer DP process

RS-C Flexible Reticle Stocker

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

EUVL for HVM: Progress Update

Overview European EUVL programme

Thin Wafer Handling Challenges and Emerging Solutions

The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Mask Characterization for Double Patterning Lithography

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Recent progress in nanoparticle photoresist development for EUV lithography

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing.

Transcription:

Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt, SEMATECH Frank Goodwin, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 2

Motivation Leading edge litho cost will increase dramatically for the 32 nm half-pitch (hp) node Miniaturization of devices continuing at the same pace Identify parameters for cost-effective lithography at 32 nm and 22 nm hp 3

Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 4

Candidate Technologies 32 nm hp ArFi DPL: LELE, Freeze, Spacer High-index ArFi SE EUVL 22 nm hp ArFi DPL: LELE, Freeze, Spacer High-index ArFi DPL: LELE EUVL Nanoimprint SE: Single Exposure, DPL: Double Patterning LELE: Litho-Etch-Litho-Etch 5

Process Flows (schematic) Deposit hardmask(s) Coat, expose, develop ArFi SE Etch hardmask, Strip resist Coat, expose, develop DPL LELE (Line) Freeze Freeze resist Coat, expose, develop Etch hardmask Deposit spacer, Etch back spacer Remove hardmask lines Coat, expose, develop (cut mask) Spacer EUV Imprint Imprint For all flows at end: Etch hardmask, Strip resist, Etch pattern, Strip hardmask 6

Assumptions All technologies are equally reliable and support equal yield. All technologies meet manufacturing requirements. Double Patterning Stepper overlay Mask registration Mask yield (with 30 hour write time) Yield even though with more processing steps EUVL Defect-free masks Source power, tool transmission, and resist sensitivity enable throughput Tool reliability supports uptime Mask and optics meet lifetime requirements Imprint 1 defect-free masks Tool meets throughput (15 improvement over today) Imprint defects Mask lifetime Mask 1 inspection 7

Calculation Procedure $ / yr General ( C fixed + Crecur ) COO = + Cmaterials + C T U Y 24 365 other $ / wafer wafer / h h / yr $ / wafer $ / wafer C fixed Depreciation, Floor space ($/yr) C materials Resist, etc. ($/wafer) C recur Utilities, Consumables, Labor ($/yr) C other Other ($/wafer) T Throughput (wafer / h) U Utilization (%) Y Yield (%) 8

Calculation Procedure Linear relationship Lithography ( C fixed + Crecur ) COO = + Cresist + T U Y 24 365 C N reticle wpr Inversely proportional: large effect C fixed Depreciation, Floor space ($/yr) Cresist Resist, etc. ($/wafer) C recur T Utilities, Consumables, Labor ($/yr) Throughput (wafer / h) C reticle N wpr Reticle ($) Wafer / reticle U Y Utilization (%) Yield (%) 9

Calculation Procedure Calculate COO for each process step Litho Deposition Etch Metrology Clean Process flow # of different process steps Total COO = Σ (Process Cost #Process Steps) Only one critical layer calculated Normalized to 45 nm ArFi SE 10

Model Parameters 45 nm hp 32 nm hp ArFi SE ArFi DPL HI ArFi EUVL LELE Freeze Spacer Tool Cost $40M $49M $49M $49M $50M $54M Throughput / wph 125 180 180 180 120 50 Tool Cost / TPT (M$/wph) 0.3 0.3 0.3 0.3 0.4 1.1 Reticle Cost $200k $584k $584k $466K $396k $178K 45 nm hp 22 nm hp ArFi SE ArFi DPL HI ArFi DPL EUVL NIL LELE Freeze Spacer LELE Tool Cost $40M $52M $52M $52M $53M $89M Throughput / wph 125 200 200 200 135 100 Tool Cost / TPT (M$/wph) 0.3 0.3 0.3 0.3 0.4 0.9 0.3 Reticle Cost $200k $1176K $1176K $752K $1176K $252K $622k Tool cost based on historical extrapolation, scales with throughput and resolution 11

Model Parameters Reticle cost (SEMATECH model, G. Hughes) Mask Cost = [ (Capital Cost term Write Time) + Material Cost ] / Yield 2.5 data growth per node for optical 2 data growth for EUV and Imprint Mask yield based on ITRS difficulty 45 nm: 70% EUVL: 77% (Yield targets looser) DPL: 63% (Mask registration tighter) Imprint: 54% (Defect specs much tighter, minimum feature 1 ). Utilization fixed at 83%, yield at 98% for all technologies. Non-litho process costs based on SEMATECH data ~ 250 total parameters (~ 25 per litho technology) 12

Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 13

Overview 20,000 Wafers/Mask 45 nm 32 nm 22 nm 250% 250% 20,000 wpm: Reticle cost 200% 20,000 wpm: Reticle cost 10 45 % 10 45 150% % 100% 100% Reticle Clean Etch Metrology Deposition Litho Reticle Clean Etch Metrology Deposition Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 22 nm EUVL (100) 14

Overview 1,000 Wafers/Mask 45 nm 32 nm 22 nm 500% 500% 1,000 wpm: Reticle cost 70 90 % 450% 1,000 wpm: 400% 70 350% 90 % 300% 300% 250% 250% 200% 200% 150% 150% Reticle Clean Etch Metrology Deposition Litho Reticle Clean Etch Metrology Deposition Litho 100% 100% 50% 50% 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 0% 0% Technology (wph) 22 nm EUVL (100) 22 nm Imprint ($0.3M/wph) 15

Error Estimation (20,000 Wafers/Mask) 300% 300% 250% 250% 200% 200% 10 % error on parameters ~15 % error on CoO (U and Y kept constant) 150% 150% 100% 100% 50% 50% 0% 45 nm ArFi SE (1 25) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) 22 nm LELE (200) 22 nm Freeze (200) 22 nm Spacer (200) 22 nm HI LELE (135) 22 nm EUVL (100) 0% 16

Results - 32 nm hp (20,000 w/m) 45 nm 32 nm 200% 200% DPL most expensive because of reticle, 160% deposition and etch costs 140% DPL most expensive 180% 120% 120% 100% 100% 80% 80% 60% 60% Reticle Clean Etch Reticle Clean Etch Metrology Deposition Litho Metrology Deposition Litho 40% 40% 20% 20% 0% Technology (wph) 0% 45 nm ArFi SE (125 wph) ArFi SE (125) 32 nm ArFi DPL LELE (180 wph) ArFi DPL (180) 32 nm ArFi DPL Freeze (180 wph) ArFi DPL Freeze (180) 32 nm ArFi DPL Spacer (180 wph) ArFi DPL Spacer (180) 32 nm HI ArFi SE (120 wph) HI ArFi SE (120) 32 nm EUVL (50 wph) EUVL (50) High EUV litho cost because of capital cost 17

Results - 22 nm hp (20,000 w/m) 45 nm 22 nm 250% 200% High reticle costs for DPL Cost advantage of EUVL 150% 150% 100% 100% Reticle Clean Etch Metrology Metrology Deposition Deposition Litho Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125 wph) ArFi SE (125) 22 nm ArFi DPL LELE (200 wph) ArFi DPL LELE (200) 22 nm ArFi DPL Freeze (200 wph) ArFi DPL Freeze (200) 22 nm ArFi DPL Spacer (200 wph) ArFi DPL Spacer (200) 22 nm HI HI ArFi ArFi DPL LELE (135 wph) DPL LELE (135) 22 nm EUVL (100 wph) EUVL (100) 18

Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 19

EUVL Cost Parameters Contribution of individual parameters to COO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 20

EUVL Cost Parameters Contribution of individual parameters to COO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 21

EUVL: Equipment Costs Equipment 72% Equipment 72% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Consumables 19% Labor Labor 2% Facilities 2% 2% Facilities 2% Materials Utilities 4% 1% Utilities 1% Materials 4% Equipment main cost factor Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Utilities, 0.4% Utilities 0.4% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 22

EUVL: Consumable Costs Equipment 72% Equipment 72% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Consumables 19% Labor 2% Labor 2% Facilities 2% Facilities 2% Utilities 1% Materials Utilities 4% 1% Materials 4% Consumables and materials (resist) are significant cost factor Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Utilities, 0.4% Utilities 0.4% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 23

EUVL: Labor, Facilities, Utilities Costs Equipment 72% Equipment 72% Consumables 19% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Labor Labor Facilities 2% 2% 2% Facilities 2% Materials 4% Utilities 1% 1% Materials 4% Labor, facilities and utilities very small contribution Equipment 69.4% Consumables 21.9% Equipment, 69.4% Consumables, 21.9% Labor, 1.3% 1.3% Facilities 1.1% Facilities, 1.1% Materials, 5.8% Materials Utilities, 0.4% Utilities 0.4% 5.8% 22 nm (100 wph) Litho cost excluding reticle 24

EUVL: Power Consumption Costs Equipment 72% Equipment 73% Consumables 19% 32 nm (50 wph) Litho cost excluding reticle Equipment 69.4% Materials Utilities 3% Labor Facilities Utilities 1% 2% 2% Labor 2% Facilities 2% 1% Consumables 21.9% Consumables 19% Materials 4% Even for power consumption of 200 kw (based on 20 kw CO 2 laser for LPP) facilities cost is negligible: 1-2 % of total litho cost excluding reticle. Equipment, 69.4% Consumables, 21.9% Labor, 1.3% Materials, 5.8% Utilities, 0.4% Labor Utilities 1.3% Facilities, 1.1% 0.4% 1.1% Materials 5.8% 22 nm (100 wph) Litho cost excluding reticle 25

EUVL Cost Parameters Contribution of individual parameters to CoO? Sensitivity Analysis: What are the target values of cost parameters such that EUVL remains as cost-effective as LELE? 26

EUVL Throughput Sensitivity Analysis Normalized Cost per wafer (to 45 nm ArFi SE) Normalized Cost per wafer (to 45 nm ArFi SE) 260% 240% 220% 200% 180% 32 nm cross over: ~ 35 wph 160% 140% 22 nm cross over: 35-45 wph 32 nm 50 wph $54M 22 nm ArFi DPL LELE (200 wph) 32 nm ArFi DPL LELE (180 wph) 22 nm 100 wph $89M 120% 20.0 30.0 40.0 50.0 60.0 70.0 80.0 90.0 100.0 110.0 EUVL (wph) EUVL Throughput (wph) 20,000 wpm ArFi DPL LELE (180 wph) EUVL (variable) EUVL constant ArFi DPL LELE (200 wph) EUVL variable EUVL constant Constant and variable tool price as function of throughput assumed 27

EUVL Sensitivity Analysis Repeat analysis for different wafer/mask values Perform same analysis for EUVL utilization Comparing to LELE 180 wph LELE 200 wph LELE 83 % LELE 83 % Wafers / mask EUVL Throughput (wph) EUVL Utilization 32 nm 22 nm 32 nm 22 nm 1,000 < 10 < 5 < 10 % < 10 % 20,000 35 / 40 35 / 45 60% 35% 50,000 45 / 45 50 / 60 75% 50% 100,000 50 / 50 65 / 70 83% 60% The numbers on the left/right correspond to variable/constant tool price. Throughput > 30 wph needed (U kept fixed) Utilization can be lower than LELE (TPT kept fixed) 28

Overview Introduction / Motivation Calculation Procedure Results EUVL Considerations Conclusions 29

Conclusions At 22 nm hp, EUVL has cost advantages over DPL because of fewer process steps and lower reticles costs EUVL equipment cost contributes strongly, facilities negligible EUVL throughput > 30 wph required to be as costeffective as LELE (under assumptions made) Except for EUVL, reticles are significant cost component (even at 20,000 wpm) 30

Acknowledgements Dennis Fandel, Jackie Ferrelll, Jacque Georger, Chawon Koh, Bob Rulliffson, Phil Seidel, Larry Smith, Robert Wright (SEMATECH) Will Conley (Freescale), Rob Crowell (TEL),Hiroyuki Mizuno (Toshiba), Nick Stacey (Molecular Imprints), Obert Wood (AMD) Céline Lapeyre (CEA-LETI Minatec), Gary Zhang (Rohm and Haas) 31