STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Similar documents
E152 Standard Revision: EUV-pod Reticle Carrier

ASML Approach to Euv Reticle Handling

EUV Mask Carrier & Load Port Standards Workshop Overview

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS-C Flexible Reticle Stocker

XBC300 Gen2. Fully-automated debonder and Cleaner

IEUVI Mask Technical Working Group

ITG Japan. September 15 th, Makoto Yamamoto, Muratec ITG Japan. Interoperability Test Group Japan 1

PREFERRED RELIABILITY PRACTICES. Practice:

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

Mask/Reticle Cleaning Systems

SMP625 Product Specifications

ic-wg BLCC WGC PACKAGE SPECIFICATION

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

E GUIDE TO ASSESS AND CONTROL ELECTROSTATIC DISCHARGE (ESD) AND ELECTROSTATIC ATTRACTION (ESA) FOR EQUIPMENT

TEA10402V15A0 Engineering Specification

EUV Lithography Towards Industrialization

AM 500A 500 WATT AM 1A 1 KILOWATT AM BROADCAST TRANSMITTERS. October, 1999 IM No

Thin Wafer Handling Challenges and Emerging Solutions

RoHS. Specification CUD8DF1A. Drawn Approval Approval. 서식 Rev: 00

S-S35B-F

Nanoparticle Contamination Control and Metrology for the EUVL Systems

NEW STANDARD: GUIDE FOR THE HANDLING OF RETICLES AND OTHER EXTREMELY ELECTROSTATIC SENSITIVE (EES) ITEMS WITHIN SPECIALLY DESIGNATED AREAS NOTICE

Actinic review of EUV masks: First results from the AIMS EUV system integration

Sensors and Metrology. Outline

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

SPECIFICATION FOR APPROVAL INDEX

Specification KWT803-S

Guide Specifications Section

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Visual Test Light Scattering Reticle. Users Guide

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, VOLTAGE REFERENCE, MONOLITHIC SILICON

INTERNATIONAL STANDARD

TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES

EHP-A23/RGB33-P01/TR. Data Sheet. Materials. High Power LED 1W. 1 of 12 Release Date: :11:33.0 Expired Period: Forever

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

EHP-AX08EL/UB01H-P01/B7B8/F3

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

PRELIMINARY SPECIFICATIONS

We published the text from the next page.

FERRITES. March Ni-Zn. Ferrites for EMI Suppression. BB series(1 Hole)

FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

Analysis of carbon contamination on EUV mask using CSM/ ICS

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter (LRO)

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

SPECIFICATION. Topview 5550 SMD LED IWS 505-UG-K3. Product : Topview 5550 SMD LED Part No : IWS-505-UG-K3 Customer : Date : Ver.1.

RS INDUSTRY LIMITED. RS Chip Array ESD Suppressor APPROVAL SHEET. Customer Information. Part No. : Model No. : COMPANY PURCHASE R&D

5484BN/R7DC-AHJB/XR/MS(ELA)

Overview of EUV Lithography and EUV Optics Contamination

3mm Photodiode PD204-6B

Specification of V-PORT XXXX-X-V05 Series

DLA LAND AND MARITIME COLUMBUS, OHIO TITLE MICROCIRCUIT, LINEAR, CMOS SPDT SWITCH, MONOLITHIC SILICON REVISIONS

TABLE OF CONTENT Scope 2 Alignment Systems and Procedures 2 Balancing 8 Lubrication System 10 Preservation of Spare Parts 12

PRELIMINARY SPECIFICATIONS

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

PRODUCT DATA SHEET. Eagle Eye Outdoor LED Module 5700K. RoHS Compliant. CUSTOMER :. DATE : REV : Rev. 2.0.

Background Statement for SEMI Draft Document #5691 New Standard: Test Method for Measurement of Chip (Die) Strength by Mean of Cantilever Bending

IPC-TM-650 TEST METHODS MANUAL

Multilayer Ceramic Chip Capacitors

Display Surface-mount ELSS-406SURWA/S530-A3/S290

Figure 1 below shows the generic process flow of an LELE method of double patterning.

@Copyright 2016 SKAPS Industries.

Storing, using and disposing of unsealed radioactive substances in a Type C Laboratory: Extract of regulatory requirements

5mm Silicon PIN Photodiode, T-1 3/4 PD333-3B/H0/L2

Facilities Management

AA2214VRBXS/A-TR-AMT 2.2 x 1.4 mm Surface Mount LED Lamp

Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet

SPECIFICATION. - Contents -

Inside Wall Temperature Measurements of DSTs Using an Infrared Temperature Sensor

1

Surface Mount UV LED. NUVA33 Series PART NUMBERING SYSTEM. WAVELENGTH CODES Code Nominal Wavelength

DRAFT. Robotic Lunar Exploration Program Lunar Reconnaissance Orbiter 431-ICD Date: September 15, 2005

Standard Guide for Determination of the Thermal Resistance of Low-Density Blanket-Type Mineral Fiber Insulation 1

INTERNATIONAL STANDARD

Received. Revised record

NEMA MW (R2014) Dynamic Coefficient of Friction of Film-Insulated Magnet Wire

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Introduction...COMB-2 Design Considerations and Examples...COMB-3

RoHS. Specification CUD8AF1C. 서식 Rev: 00

SI Surging Ideas TVS Diode Application Note PROTECTION PRODUCTS. Layout Guidelines for adding ESD Protection in HDMI Receiver Applications

5mm Infrared LED HIR383C/L289

Standard Test Method for Flat Particles, Elongated Particles, or Flat and Elongated Particles in Coarse Aggregate 1

EHP-AX08EL/GT01H-P03/5063/Y/N13

In-Solution Digestion: Multi-Plate v1.0 Quick Start Guide

MATERIAL AND EQUIPMENT STANDARD FOR. INHIBITOR FOR HYDROCHLORIC ACID AND HCl+HF DESCALING AND PICKLING SOLUTION FOR OIL AND GAS WELLS ORIGINAL EDITION

ATtiny87/ATtiny167. Appendix A - ATtiny87/ATtiny167 Automotive Specification at 150 C DATASHEET. Description

High Optical Density Photomasks For Large Exposure Applications

PRELIMINARY SPECIFICATIONS

Received. Revised record

Model 556X User s Manual. Optical Isolator

TRENCHSTOP TM RC-Series for hard switching applications. IGBT chip with monolithically integrated diode in packages offering space saving advantage

PMLCAP. Polymer Multi Layer Capacitor Specification Sheet RUBYCON CORPORATION PML DIVISION. PMLCAP ST series RPR Rubycon PART No. Drawing No.

INTERNATIONAL STANDARD

Trial run of SWIFT instrument mounting onto PALAO. Version 1.1

SFH615A / SFH6156. Pb Pb-free. Optocoupler, High Reliability, 5300 V RMS VISHAY. Vishay Semiconductors

Transcription:

Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft was introduced by the North American Physical Interface and Carriers (NA PIC) Committee in October 2005. This draft is only to be used as a framework to further refine the requirements for EUV reticle SEMI standard specification. This draft has not been approved by the global SEMI PIC community. 1 Purpose 1.1 This specification provides the requirements for EUV reticle storage and transportation systems known as enclosures and carriers. Since EUV reticles will not have, traditional transmission pellicle films used for defect mitigation additional defect suppression or mitigation is required for EUV reticles during exposure and during transport or storage. 2 Scope 2.1 This specification is to identify the performance requirements of EUV reticle protective enclosures and reticle carriers to maintain very low defect contamination levels from most or all of the reticles product life cycle. Key requirements include the elimination or minimization of reticle contamination during reticle transfer into and out of exposure tools, cleaning or inspection tools, and other related mask manufacturing process tools. Therefore, importance on the contamination reduction in load ports and interface equipment impacts to reticles are specified in this document. 2.2 The specification areas that are defined will include requirements if outer reticle frames, enclosures or removable pellicles, and transport carriers. It is not a consensus that all three strategies will be implemented in production EUV equipment however all must maintain or eliminate defect foreign material generation or molecular contamination growth. 2.3 The requirements in this standard for EUV substrates, blanks, and patterned masks will only apply to those potential items in which handling, transport, and storage will impact the units. All other existing specifications for the EUV substrate, blank and reticle are to be followed such as SEMI P37 Specification for Extreme Ultraviolet Lithography Mask Substrates, SEMI P38 Specification for Absorbing Film Stacks and Multilayers for Extreme Ultraviolet Mask Blanks, and SEMI P0 Specification for Mounting Requirements and Alignment Reference Locations for Extreme Ultraviolet Mask. Therefore any requirement specified in this specification shall not negatively impact performances specified in P37, P38, and P 0 3 Limitations 3.1 The specification is not responsible for defining the mask design as associated with registration marks, information fields, or other elements. The duty of this specification is to standardize on a reticle carrier systems that provide maximum EUV reticle protection. Those requirements that define EUV reticle features or characteristics are only done so to maintain defectivity, transport utilization, and other handling needs. 3.2 NOTICE: This standard does not purport to address safety issues, if any, associated with its use. It is the responsibility of the users of this standard to establish appropriate safety and health practices and determine the applicability of regulatory or other limitations prior to use. Referenced Standards.1 SEMI Standards SEMI E1.9 Mechanical specification for cassette used to transport and store 300mm wafers SEMI E19. 200mm Standard Mechanical Interface SEMI P37 Specification for Extreme Ultraviolet Lithography Mask Substrates SEMI P38 Specification for Absorbing Film Stacks and Multilayers for Extreme Ultraviolet Mask Blanks SEMI P0 Specification for Mounting Requirements and Alignment Reference Locations for Extreme Ultraviolet Mask SEMI E57 Provisional Mechanical Specification for Kinematic Couplings Used to Align and Support 300mm Wafer Carriers SEMI E100 Specification for a reticle SMIF pod (RSP) to transport and store 6 inch or 230mm reticles SEMI E111 Specification for a 150mm reticle SMIF pod (RSP150) used to transport and stores a 6-inch reticle. SEMI T16 Specification SEMI E129 Guide to Assess and Control Electrostatic Charge in a Semiconductor Manufacturing Facility

5 Terminology 5.1 Acronyms 5.1.1 ESD Electrostatic Discharge 5.1.2 ESA Electrostatic Attraction 5.1.3 EMI Electromagnetic Interference 5.1. EUV Extreme Ultraviolet 5.2 Definitions 5.2.1 carrier A device for holding EUV reticle for various processing steps in semiconductor manufacturing. The carrier will be the unit that integrates with various process and exposure tools. This may include shipping. It shall include defect protection. The carrier does not directly contact the EUV reticle. 5.2.2 enclosure (or inner pod) Is a component or set of components that creates secondary or tertiary isolated environment within the carrier for protecting the EUV reticle designed to eliminate or reduce particle defects. The enclosure can include brackets, bases, covers, frames, etc, which are company specific terms. 5.2.3 frame (or base ) A device with an open aperture in which the EUV reticle is placed and rigidly secured around the reticle to accommodate reticle handling so that the EUV reticle is not directly handled. 5.2. exclusion zone A restricted area on the reticle or carrier system module reserved for access by the transport module end effectors during reticle handling. 5.2.5 exclusion volume The interior of the enclosure or carrier that must not intrude into space that is to be occupied by elements internal to the unit such as the frame or enclosure and must not intrude into the end effectors exclusion volumes or other elements when units or ports are opened. 6 Ordering Information 6.1 Checklist text. Item 7 Requirements 7.1 EUV Reticle Exclusion Zone An exclusion zone is defined generally around the reticle sides and a 5mm edge exclusion perimeter area on both the patterned frontside and backside of the reticle. Figure 1 defines the exact areas and locations of this Reticle Exclusion Area. This area is reserved for any type of reticle handling, transfer either by automation or by manual operations using correct protocol and accepted reticle picks (however manual handling will only be done in limited evasive circumstances. 7.2 EUV Reticle Conducting and Grounding Requirements The EUV reticle handling and protection systems must be properly grounded electrically from frontside to backside so that ESD, ESA, and EMI events do not adversely impact or effect the mask patterned features. SEMI 129 Guide to Assess and Control Electrostatic Charge in a Semiconductor Facility specifies photomask ESD levels based on IC feature technology node. Further analysis is required however, as a guideline EUV carriers, enclosures, and frames must support ESD protection to levels specified in Table 1. Table 1 Electrostatic Field Levels - Limit Induced ESD Damage on Photomasks (SEMI E129) Year Node 2009 50 nm (5nm) 2012 32nm 2015 25 nm (22 nm) Electrostatic Field Limits Induced ESD Damage, V/cm Electrostatic Field Limits Particle Attraction, V/cm 55 (50) 71 35 (35) 55 27 (25) 56 7.3 Reticle Frame Dimensions The reticle frame must not be larger than the tolerances listed so that proper clearances can be maintained within the carrier). X = 176-19mm Y = 190-207mm Z= 1.35mm or less: 7. Reticle Enclosure Dimensions The outer dimensions of the reticle enclosure must be confined to specific height, width, and length tolerances so that proper integration within the carrier can be maintained. The overall enclosure dimensions are: X = 201.66-218 mm Y= 190 207mm Z =23mm or less

7.5 Carrier End Effector Exclusion Volume Volumes in an open carrier, which must be free for the end effector to enter and handle the frame/enclosure. (dimensioned drawing required) 7.6 Frame/Enclosure End Effector Exclusion Volume- Volumes in an open frame/enclosure, which must be free for the end effector to enter and handle the reticle. (dimensioned drawing required) 7.7 Reticle Position Within Enclosure The nominal reticle within the enclosure is defined by x1, y1 and z in Table 2 and shown in Figures and 5.. The reticle centroid offset tolerance shall be located with the following position in relationship to the enclosure centroid: Centroid Location: 0 +.25 mm 7.8 Frame/Enclosure Position Within Carrier The nominal frame/enclosure within the carrier is defined by x2, x3, x, x5, y2, y3, y, y5, z3, z5, z6, z7 in Table 2 and shown in Figures 3, and 5. The frame/enclosure centroid offset tolerance shall be located with the following position in relationship to the carrier centroid: Centroid Location: 0 +.50 mm Enclosure Mass The enclosure shall not be more than 1,500 grams or less than 100 grams (without the reticle). This is to assure that reliable transfer handling will be maintained using robots and suitable end effectors. Operating with enclosure masses larger than this value may impact the positioning and reliability of the robotic handling. 7.9 Enclosure Contacts Points The enclosure will have specified points or regions in which automation equipment can touch or interface with. 7.10 Carrier Outer Dimensions The carrier must not be larger than the tolerances listed so that proper clearances will be maintained with carrier interface equipment such as indexers, load-ports, etc. Refer to E100 for x and y values. Z value is increased to 100.0mm Width: X= 283mm Length:Y=29mm Height:Z=100mm (dimensioned drawings required) 7.11 Carrier Exclusion Volume Within the carrier, there is a restricted or exclusion volume in which no carrier surface or element may penetrate. This space or volume is restricted to allow proper distances or standoffs for the enclosure or inner pod. Width: Length: Height: (dimensioned drawings probably required) 7.12 Carrier Mass The carrier shall not be more than 2000 grams or less than 800 grams,(without enclosure). This is to assure that reliable transfer handling will be maintained using robots and suitable end effectors. Operating with carrier masses larger than this value may impact the positioning and reliability of the robotic handling. 7.13 Reticle orientation within the Enclosure and carrier The reticle is to be positioned within the enclosure and carrier in an agreed to orientation. This will facilitate correct handling and exposure scanning directions while maintaining the optimum defect or contamination protection. The following reticle orientation must be maintained within the frame / bracket, enclosure / inner pod, and carrier. Reticle exposure surface: Face down orientation Backside reticle bevel orientation: Non-beveled corner must be orientated at the southwest trailing edge (i.e. the leading edge length field scan begins at the leading edge of the reticle indicated with two backside bevels oriented northwest and northeast respectively). 7.1 Carrier Interface Dimensions: (TBD) but will include datum reference planes, 7.15 Carrier Loadport Interface: Carrier door must have the capability of registering on the kinematic pins as defined in SEMI E57. 7.16 Frame/Enclosure and Carrier Interface Frame/Enclosure must have the capability of registration on the kinematic pins as defined by x1, x15, y1; y15, y16 and y16 in Table 21 and shown in Figure 6. 7.17 Carrier Loadport Interface opening and closing forces: (TBD closing forces may increase) 7.18 Loadport and reticle particle generation per pass Due to the requirement for very low airborne particulate or contamination for EUV reticles it is required that particle defects are minimized. No more than (TBD) can be contributed to the EUV reticle per reticle pass or transfer 7.19 Reticle alignment with the Enclosure and Frame The reticle precise positioned within the Enclosure or Frame needs to be measured to facilitate correct placement on the EUV reticle chuck. This alignment is determined by measuring the reticle registration marks with optical sensors.

(more details required in this area on what surfaces need to be opaque, optically rough, transparent, antireflective and contrast back-ground) 7.20 Frame/Enclosure alignment window - Locations within the Frame and Enclosure that allow registration marks on the reticle to be sensed by the reticle handling equipment. (light wave length transmission rate through the window must be specified) 7.21 Information Pads The Carrier door must have carrier info pads as defined by SEMI E100. 7.22 Carrier Sensing Pads The Carrier door must have carrier-sensing pads as defined in SEMI E1.9. 7.23 ID Exclusion volume Volume on the Carrier, Frame and Enclosure, which must remain free from intrusions to accommodate ID tag, RFID transponders or tag mounting. (Dimensioned drawing required) 7.2 Conveyor Rails -? 7.25 Frame/Enclosure Lift Clearance Volume in an open carrier which must be free above the enclosure to allow the end effector to lift and handle the enclosure 7.26 Reticle Lift Clearance Volume in an open frame and enclosure, which must be free above the frame, and enclosure to allow the end effector to lift and handle the reticle. 7.27 Carrier Automation Handling Flanges The carrier must have automation flanges as defined by SEMI E111. 7.28 Frame/Enclosure Automation Handling Features The frame/enclosure must have automation features TBD. (dimensioned drawing required) 7.29 Packaging and Marking The EUV carrier must be able to be packed in a class 1 environment as defined ISO 16-1 in SEMI P37. 7.30 Outgassing The EUV reticle and any associated frame, enclosure, or carrier material surfaces may not outgas more than the following critical limits of species. Water: 5. E- mbar l/s CxHy: 5 E-6 mbar l/s Should be applied. These are performance specifications and shown as a guideline. Final values should be determined depending on the design of exposure tool. All other inert gases 1.2 E-9 mbar l/s In terms of frame, more stringent conditions such as Water: 1. E-5 mbar l/s CxHy: 1 E-7 mbar l/s

reticle North backside surface Backside corner 5 5 chamfer Backside corner chamfer 5 5 6 5 5 5 reticle West backside surface 6 W N reticle frontside surface E 152.0 mm + 0.10 mm reticle East backside surface S 5 5 5 152.0 mm mm + 0.10 + mm 0.10 mm 6.35 mm + 0.10 mm Backside corner chamfer 5 5 reticle South backside surface Contact / frame or enclosure Handling areas for end effectors Mechanical Stage Contacts Corner segments are 5.0mm x 5.0 mm Center Line segments are 6.0mm x 5.0 mm All other segments are.0mm x 5.0 mm Figure 1

Symbol Used Value Specified Datum Measured From Feature Measured To HRP (all values in mm) horizontal datum plane BRP bilateral datum plane nominal reticle centerline FRP facial datum plane nominal reticle centerline x1 76.00 bilateral datum plane edge of reticle x2 88.00-97.00 bilateral datum plane edge of base plate x3 91.13-99.95 bilateral datum plane edge of enclosure cover x 75.00 bilateral datum plane base plate flange x5 100.83-109 bilateral datum plane enclosure cover flange x6 53.00 bilateral datum plane edge of alignment window x7 65.00 bilateral datum plane edge of alignment window x8 59.50 bilateral datum plane edge of alignment window x9 71.50 bilateral datum plane edge of alignment window x10 53.00 bilateral datum plane edge of alignment window x11 65.00 bilateral datum plane edge of alignment window x12 92.00 bilateral datum plane center line of carrier secondary KC pin x13 115.00 bilateral datum plane center line of carrier primary KC pin center line of Frame/Enclosure secondary KC x1 TBD bilateral datum plane pin x15 TBD bilateral datum plane center line of Frame/Enclosure primary KC pin y1 76.00 facial datum plane edge of reticle y2 88.00-97.00 facial datum plane edge of base plate y3 91.13-99.95 facial datum plane edge of enclosure cover y 50.00 facial datum plane enclosure cover flange y5 95.00-103.50 facial datum plane edge of base plate flange y6 6.00 facial datum plane edge of alignment window y7 12.00 facial datum plane edge of alignment window y8 50.20 facial datum plane edge of alignment window y9 62.20 facial datum plane edge of alignment window y10 9.00 facial datum plane center line of carrier secondary KC pin y11 120.00 facial datum plane center line of carrier primary KC pin y12 6.00 facial datum plane center line of carrier secondary KC pin y13 80.00 facial datum plane center line of carrier primary KC pin y1 TBD facial datum plane center line of Frame/Enclosure secondary KC pin y15 TBD facial datum plane center line of Frame/Enclosure primary KC pin center line of Frame/Enclosure secondary KC y16 TBD facial datum plane pin y17 TBD facial datum plane center line of Frame/Enclosure primary KC pin z1 1.00 horizontal datum plane bottom of carrier z2 20.00 (reference) horizontal datum plane top of carrier door z3 31.8 horizontal datum plane bottom of base plate robotic flange z 33.3 horizontal datum plane quality surface of reticle z5.18 horizontal datum plane bottom of enclosure cover robotic flange z6 7.36 horizontal datum plane top of enclosure cover d1 TABLE 2

Figure 3

Figure Figure 5

Figure 6