PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

Similar documents
NANO AND MICROSCALE PARTICLE REMOVAL

NSF Center for Micro and Nanoscale Contamination Control

The Mechanics of CMP and Post-CMP Cleaning

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

The Removal of Nanoparticles from Nanotrenches Using Megasonics

Lecture 7 Contact angle phenomena and wetting

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Effects of Size, Humidity, and Aging on Particle Removal

Particle removal in linear shear flow: model prediction and experimental validation

DLVO interaction between the spheres

A novel cleaner for colloidal silica abrasive removal in post-cu CMP cleaning

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches

Fabrication of ordered array at a nanoscopic level: context

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

TCAD Modeling of Stress Impact on Performance and Reliability

How materials work. Compression Tension Bending Torsion

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

Biomaterial Scaffolds

Slide 1 Raymond Jin, Adcon Lab, Inc.

Kitchen Chemistry Test 1

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Adhesion Cohesion Surface tension Polarity

Tikrit University. College of Engineering Civil engineering Department SOIL PROPERTES. Soil Mechanics. 3 rd Class Lecture notes Up Copyrights 2016

MECH 466. Micro Electromechanical Systems. Laboratory Manual Laboratory #3: Stiction of MEMS and Strength of MEMS Materials

USE OF RHEOLOGY AS A DEVELOPING AND TROUBLESHOOTING TOOL FOR PSA APPLICATIONS.

STANDARD SAMPLE. Reduced section " Diameter. Diameter. 2" Gauge length. Radius

New Die Attach Adhesives Enable Low-Stress MEMS Packaging

Particle removal in linear shear flow

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

Sensors and Metrology. Outline

IMFA s. intermolecular forces of attraction Chez Chem, LLC All rights reserved.

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

MATERIALS. Why do things break? Why are some materials stronger than others? Why is steel tough? Why is glass brittle?

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

Johns Hopkins University What is Engineering? M. Karweit MATERIALS

Lecture 2. Fundamentals and Theories of Self-Assembly

L8: The Mechanics of Adhesion used by the Gecko

Theories of Adhesion

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Chapter 10: States of Matter. Concept Base: Chapter 1: Properties of Matter Chapter 2: Density Chapter 6: Covalent and Ionic Bonding

Comparing the Strength of Intermolecular Forces

NANOPARTICLES REMOVAL IN POST-CMP (CHEMICAL-MECHANICAL POLISHING) CLEANING. A Thesis DEDY NG

A Temporary Bonding and Debonding Technology for TSV Fabrication

1 Force Sensing. Lecture Notes. 1.1 Load Cell. 1.2 Stress and Strain

SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS. Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France

Chapter Two: Mechanical Properties of materials

MATERIALS SCIENCE POLYMERS

Si/GaAs heterostructures fabricated by direct wafer bonding

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION

Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Surface Tension and its measurements

Lab Exercise #5: Tension and Bending with Strain Gages

Introduction to Micro/Nanofluidics. Date: 2015/03/13. Dr. Yi-Chung Tung. Outline

Chemical Mechanical Planarization

Abstract. The principles and applicability of surface structure and hydrophobicity of polymers (PS, PDMS),

Chapter 26 Elastic Properties of Materials

Atomic Force Microscopy imaging and beyond

Statics Principles. The laws of motion describe the interaction of forces acting on a body. Newton s First Law of Motion (law of inertia):

Section 2.5 Atomic Bonding

December 1999 FINAL TECHNICAL REPORT 1 Mar Mar 98

The Properties of Water

Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Chapter 10. Liquids and Solids

Flexural properties of polymers

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model.

2-2 Properties of Water

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Novel Bonding Technology for Hermetically Sealed Silicon Micropackage

Chapter 11. Intermolecular Forces, Liquids, and Solids

ri [11111 IlL DIRECTIONAL PROPERTIES Of GLASS-FABRIC-BASE PLASTIC LAMINATE PANELS Of SIZES THAT DO NOT IBUCICLE (P-Q1lAtVjr) No.

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Stress Strain Elasticity Modulus Young s Modulus Shear Modulus Bulk Modulus. Case study

Hybrid Wafer Level Bonding for 3D IC

Film Deposition Part 1

CHEM. Ch. 12 Notes ~ STATES OF MATTER

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Introduction. Sample kit content

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Homework No. 1 MAE/CE 459/559 John A. Gilbert, Ph.D. Fall 2004

We Provide a Variety of Product Ranges Catering for an array of Usage Requirements.

Fluid Mechanics Introduction

Friction Contact friction is the force that develops between two surfaces in contact as they attempt to move parallel to their interface.

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Capillarity and Wetting Phenomena

Ch. 11: Liquids and Intermolecular Forces

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

Establishing an IUCRC Center for Microcontamination Control at Northeastern University: a Planning Meeting Proposal

CHAPTER 3. FABRICATION TECHNOLOGIES OF CdSe/ZnS / Au NANOPARTICLES AND NANODEVICES. 3.1 THE SYNTHESIS OF Citrate-Capped Au NANOPARTICLES

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

American Society for Testing and Materials (ASTM) Standards. Mechanical Testing of Composites and their Constituents

Transcription:

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston, MA 02115-5000

OUTLINE Goals and Objectives Approach Preliminary Results Particle Removal Mechanism Double layer Covalent bonds between for silica on thermal oxide films and glass particles on glass substrates Adhesion induced deformation Key Preliminary Research Results

Surface Preparation Technology Requirements -- Long Term ** YEAR 1999 2000 2002 2005 2008 2011 2014 TECHNOLOGY NODE 180nm 130nm 100nm 70nm 50nm 35nm FEOL Particle Size(nm) 90 82.5 60 50 35 25 18 FEOL Particles (#/cm 2 ) 0.064 0.06 0.064 0.051 0.052 0.052 0.052 BEOL Particle Size(nm) 180 165 130 100 70 50 36 BEOL Particles (#/cm 2 ) 0.064 0.06 0.064 0.051 0.052 0.052 0.052 Surface Roughness (nm) 0.15 0.14 0.12 0.1 0.08 0.08 0.08 Critical surface metals(*10 9 ) 9 7 4.4 2.5 -- -- -- Organics ( *10 13 atoms/cm 2 ) 7.3 6.6 5.3 4.1 -- -- -- ** ---- The International Technology Roadmap for Semiconductors, 2000

Goals and Objectives To determine the adhesion force for different particles on different substrates in different solutions experimentally. To understand and determine the onset of large adhesion forces after polishing such as the development of covalent bonds. Study the removal and adhesion forces for alumina and silica slurry particles from silicon wafers (with different films, TOX, W, Cu, TaN, BPSG, etc.). Develop better cleaning guidelines and techniques to reduce surface defects after polishing. In addition, the effect of polishing pressure on the slurry particle adhesion force will be determined.

Approach Fundamental Approach Experimental and modeling approach to determine, understand and predict: Particle Adhesion Force Adhesion force increase due to: Particle Deformation Covalent Bonds CMP Slurry and Cleaning Solution Chemistry Pad hardness, compressibility and tensile strength Particle shape Surface Roughness

Approach Fundamental Approach Key Particle Removal Parameters Particle shape Polishing pressure Particle size Particle composition Slurry and cleaning solution chemistry Chemical reactions giving rise to covalent bonds particle deformation Double layer effect Cleaning liquid surface tension Surface and particle surface energy (hydrophilic or hydrophobic)

PARTICLE REMOVAL Nano-scale particles will be a challenge to current cleaning techniques. The most widely used cleaning techniques: non-contact method (megasonic cleaning) contact cleaning method (brush scrubbers) The two basic elements that need to be understood are : Particle Adhesion Particle Removal

Particle Adhesion Adhesion between a contaminant particle and a wafer occurs in three basic steps: Long-range attraction forces draw the particle towards the surface The contaminant particle touches the wafer at one point of contact Short range van der Waals force dominates near the surface and the contact area increases. Deformation may occur due to adhesion-induced deformation thereby increasing the adhesion force the deformation stops and the system is in equilibrium.

Removal Percentage vs. Moment Ratio (Silica Removal Experiment) Removal Percentage Moment Ratio 100 90 80 Removal Percentage 70 60 50 40 30 20 10 0 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 Moment Ratio

Aging Effect on Glass Particle Removal from FPD Removal Efficiency vs. Aging Time aged at 25 degree C, 95%RH Removal Efficiency 1 0.98 0.96 0.94 0.92 0.9 0.88 0.86 0.84 0 1 2 3 4 5 6 7 Aging Time (weeks)

Imprints on the glass surface ( megasonic cleaned after aging)

SEM image of glass chips(large bonding areas matched-flat surfaces in contact low profile)

AFM micrograph of surface imprints AFM three dimensional view of imprints

1E+6 PSI - Shear 1E+5 1E+4 Experimental Data Soda-lime fiber in air (Griffith) Borosilicate fiber in air (Jerkov) 1E+3 1 10 100 1000 Microns Bond strength vs. particle diameter ( Experiment data compared with Griffth's tensile strength of glass fiber and with Jerkov's tensile strength of glass fiber)

Dry surface, 55%RH aging Wet surface, 55%RH aging Wet surface, 100%RH aging One Week Aging Six weeks aging 1.58um Silica Particle on Thermal Oxide Wafer

1.58µm silica particle on slurry wetted oxide surface within one hour

Removal Efficiency vs. Aging Time Removal Efficiency 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 dry 55%RH wet 55%RH wet 100%RH 0 0 1 2 3 4 5 6 7 Aging Time(week)

Adhesion Force vs. Aging 0.30 0.25 dry 55%RH Adhesion Force(dyn) 0.20 0.15 0.10 0.05 0.00 0 1 2 3 4 5 6 7 Aging Time(week) wet 55%RH wet 100%RH van der Waals force(without deformation) van der Waals force(with deformation)

40% Relative Humidity Effect on the Ratio of Contact Diameter/Particle Diameter as a Function of Time 0.51 Contact Diamter/Particle Diameter 0.49 0.47 0.45 0.43 0.41 0.39 0.37 400nm experiment data 600nm experiment data 0.35 0 1 2 3 4 5 6 7 8 Aging Time(day)

95%RH Relative Humidity Effect on the Ratio of Contact Diameter/Particle Diameter as a Function of Time 0.6 Contact Diameter/Particle Diameter 0.55 0.5 0.45 0.4 0.35 400nm experiment data. 600nm experiment data 0.3 0 2 4 6 8 Aging Time( day)

Adhesion Force (400nm PSL Particles) 0.2 Adhesion Force (dyn) 0.18 0.16 0.14 0.12 0.1 0.08 0.06 0.04 0.02 MP model Capillary Force Measured Force 0 two day 40%RH Aging Seven Day 40%RH Aging two Day 95%RH Aging seven Day 95%RH Aging

SUMMARY Moisture plays a significant role in silica particles adhesion on silicon oxide substrate. The adhesion force significantly increases with moisture. The large meniscus indicates that initially, hydrogen bond forms and as moisture reacts between the surface and the particle, covalent bond forms around the periphery of the particle. If considering practical surface condition, the measured adhesion force fall between hydrogen and covalent bonds.

SUMMARY The average pressure exerted by surface force between PSL particle and silicon oxide surface is P = 2w z 0 P is found to be 1.6 10 9 Pa, which is comparable to the Young s modulus of the polystyrene (2.55 10 9 Pa) and far in excess of its yield strength (10.8 10 6 Pa). This confirms that it is plastic deformation taken place. A Contact diameter between the particles and the substrate increase with time logarithmically. For the same aging time, high humidity (95%RH) shows significant increase in particle deformation compared to the 40%RH conditions. Capillary force tends to accelerate the adhesion-induced deformation process. For the same aging conditions, smaller particles encounter larger deformation. The plastic deformation is caused by adhesion and capillary stresses that exceed the yield strength of PSL particles.