Multilayer Optics, Past and Future. Eberhard Spiller

Similar documents
Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer optics for next-generation EUVL systems

Soft X - Ray Optics: Fundamentals and Applications

X-Rays From Laser Plasmas

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

Vistas in Axion Physics 25 April 2012

High-Harmonic Generation II

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

BEUV nanolithography: 6.7 or 11 nm?

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Damage to optics under irradiations with the intense EUV FEL pulses

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Measurement of EUV scattering from Mo/Si multilayer mirrors

X-ray optics for the LCLS free-electron laser

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Strain, Stress and Cracks Klaus Attenkofer PV Reliability Workshop (Orlando) April 7-8, 2015

Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory

Takeo Watanabe Center for EUVL, University of Hyogo

Transmission Electron Microscopy

9/13/18. ASTR 1040: Stars & Galaxies. Topics for Today and Tues. Nonvisible Light X-ray, UV, IR, Radio. SPITZER Infrared Telescope

Madrid, Spain. Center, Palo Alto, CA 94304, US ABSTRACT

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters

Design of multilayer X-ray mirrors and systems

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Why Go To Space? Leon Golub, SAO BACC, 27 March 2006

Optical Systems Program of Studies Version 1.0 April 2012

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Customized EUV optics made by optix fab

Optics and Telescope. Chapter Six

Astronomical Tools. Optics Telescope Design Optical Telescopes Radio Telescopes Infrared Telescopes X Ray Telescopes Gamma Ray Telescopes

High Yield Structured X-ray Photo-Cathode Development and Fabrication

Structure analysis: Electron diffraction LEED TEM RHEED

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

X- & γ-ray Instrumentation

X-ray optics for Laboratory Astrophysics

High Yield Structured X-ray Photo-Cathode Development and Fabrication

REALIZATION OF AN ASYMMETRIC MULTILAYER X-RAY MIRROR

Course 2: Basic Technologies

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Development and characterization of 3D semiconductor X-rays detectors for medical imaging

Evaluation at the intermediate focus for EUV Light Source

EUV-XUV Spectroscopic Technology and Instrumentation

Waves Part III Electromagnetic waves

Focusing Optics. From x-ray telescopes to Compact Neutron Sources. Focus. Boris Khaykovich David Moncton Nuclear Reactor Laboratory, MIT

Using Multilayer Optics to Measure X-ray Polarization. Herman L. Marshall (MIT CSR) and E. Silver, H. Schnopper, S. Murray (SAO), M.

Overview of observational methods and instruments: spectrographs. Sergei Shestov

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Exam 4. P202 Spring 2004 Instructor: Prof. Sinova

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Physics of Light and Optics

MICRO AND NANOPROCESSING TECHNOLOGIES

High angular resolution X-ray astronomy in the next 50 years

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

2. The figure shows the path of a portion of a ray of light as it passes through three different materials. Note: The figure is drawn to scale.

X-Ray Scattering Studies of Thin Polymer Films

Micro- and Nano-Technology... for Optics

General theory of diffraction

Chapter 16 Holography

AP5301/ Name the major parts of an optical microscope and state their functions.

Kirkpatrick-Baez optics for the Generation-X mission

High-Resolution. Transmission. Electron Microscopy

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

AST 101 Intro to Astronomy: Stars & Galaxies

Wave Motion and Electromagnetic Radiation. Introduction Jan. 18, Jie Zhang

7. Telescopes: Portals of Discovery Pearson Education Inc., publishing as Addison Wesley

Carbon Dating The decay of radioactive nuclei can be used to measure the age of artifacts, fossils, and rocks. The half-life of C 14 is 5730 years.

Solar-B. Report from Kyoto 8-11 Nov Meeting organized by K. Shibata Kwasan and Hida Observatories of Kyoto University

An Introduction to Diffraction and Scattering. School of Chemistry The University of Sydney

Multilayer coating facility for the HEFT hard X-ray telescope

Solar UV Spectroscopy and Coronagraphy

FXA UNIT G485 Module X-Rays. Candidates should be able to : I = I 0 e -μx

Methoden moderner Röntgenphysik I. Coherence based techniques II. Christian Gutt DESY, Hamburg

Chapter 6 Telescopes: Portals of Discovery. Agenda. How does your eye form an image? Refraction. Example: Refraction at Sunset

EP118 Optics. Content TOPIC 1 LIGHT. Department of Engineering Physics University of Gaziantep

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017

PRINCIPLES OF PHYSICAL OPTICS

JRE Group of Institutions ASSIGNMENT # 1 Special Theory of Relativity

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

object objective lens eyepiece lens

Nearly everything I need to know about. Observing the Sun. I learned from Halliday & Resnick. Charles Kankelborg Solar Physics REU Program

Betatron radiation from a hybrid self-modulated wakefield and direct laser accelerator

Ultrafast nanoscience with ELI ALPS

The European XFEL in Hamburg: Status and beamlines design

Webster Cash University of Colorado. X-ray Interferometry

Universe Now. 2. Astronomical observations

High-Resolution Imagers

Lecture Outlines. Chapter 5. Astronomy Today 8th Edition Chaisson/McMillan Pearson Education, Inc.

College Physics 10th edition

MANIPAL INSTITUTE OF TECHNOLOGY

Current Status of Replicated Optics at MSFC

The University of Hong Kong Department of Physics

Transcription:

Multilayer Optics, Past and Future Eberhard Spiller 1

Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds in about 0.1 sec Everything is washed out! How can we see? 2

Solutions 1) Use short observation time <10-15 sec need intensity, computer power, sample is destroyed 2) Generate standing waves that last need mirrors, lenses, coherence 3) Use simple objects (crystals): enhanced diffraction peaks low information content simple reconstruction, resolve atoms 3

object Optic Standing waves Image, hologram, ML Moving Diffraction pattern Fast detector Computer Image 4

5

Multilayer Applications Microscopes Lithographic Cameras, EUV lithography, λ=13.5nm Normal Incidence solar telescopes, λ= 6 80nm Grazing incidence telescopes up to 100keV Beam lines for synchrotrons, FEL Spectrometers New materials 6

Best λ for EUV lithography Resolution: Wavelength and range of secondary electrons: λ=5nm Problems: Higher exposure. Exp increase with 1/λ 3 Angular Bandwidth of ML is reduced (field) Damage to all components My Guess: Stay with λ=13.5nm NIXT telescope at 6.35nm (1986) 7

SDO, launch 2011, http://sdo.gsfc.nasa.gov/ 8

Direct Reconstruction Simple? Needed: Coherent source (FEL) Many diffraction patterns for 3-D Object is destroyed at each exposure Inject identical particles, different orientation Many diffraction patterns, pick good ones Get the phases Reconstruct 3-D image Computer power and algorithms 9

J. Miao et al. Science 2015 10

History of X-Ray Optics bias: high resolution images Röntgen, 1895 Shadow graphs, No lenses or mirrors Laue, 1912 X-ray-diffraction Bragg, 1914 Atomic resolution for crystals Ewald, 1916 Dynamical Theory Compton, 1923 Grazing incidence mirror, capillaries (1931) Kiessig, 1931 Thin film interference with x-rays Langmuir- Blodgett crystals or multilayers, Λ=3-8 nm. (Nobel 19332) DuMond&Youtz, 1935 X-ray peaks from multilayers, diffusion const. Bormann, 1941 Standing wave in crystal reduces absorption Kirkpatrik-Baez, 1948 Imaging with 2 cylinder-mirrors, zone plates Wolter, 1952 Imaging with 2 conic sections 11

Recent History Möllenstedt,1966 Zoneplates by electron-beam Schmahl, 1969 Spiller, 1972 Spears, 1972 Walker, Golub, Zoneplates by holography Hawryluk, Kinoshita,Vinogradow, 1988 Snigirev 1996 Tinsley, 1998 EUVL LLC, 2001 FEL for x rays, 2009 Future Challenge: Multilayers for XUV near normal incidence telescopes, microscopes, cameras, polarizers X-ray lithography (shadowgraphs) 1988 XUV telescopes for sun's corona SOHO, TRACE Multi-lenses EUV projection lithography Figure, finish of mirrors in 1 Å range EUVL cameras within diffraction limit Reconstruction in 3-D from diffraction patterns Phase contrast for medical x-rays 12

Deposition Methods E-beam evaporation+in-situ monitor+ion polish Magnetron Sputtering (Barbee) Ion beam sputtering Pulsed laser evaporation Ion beam sputter + ion etching High energy produces better smoothing Low energy produces less mixing of boundaries Find best compromise 13

Deposition+in-situ monitor+ion polish Performance can be optimized during deposition 14

15

16

Grating before and after coating/polishing σ = 0.9 nm σ = 0.3 nm Eberhard Spiller 17

Precision Optics with Thin Film Tradition: Alternate Figuring and Precision Measurement Many loops, very slow Modern Method: One precision figure measurement only Correction by a thin film of the proper thickness Combine ion-polish and interferometry in one unit and to adjust the thickness of the film 18

New Applications Improve properties of solids: electrons, phonons etc are described by wave functions Method to maximize Tc: Measure Tc(d) in situ. Look for oscillations during depo Find 2 materials with different oscillation amplitudes Deposit material 1 to maximum, material 2 to minimum Capacitors, batteries: Go from 2D surfaces to 3D Past: 2 electrodes Future: thick ML, each period on set of electrodes 19

Multilayers at LLNL EUV LLC: λ=13.5 nm, METs Solar telescopes: NIXT, SOHO, TRACE, SAO Grazing Incidence telescopes: NUSTAR Optics for NIF: KB, Wolter for around 15keV Optics for FEL: Very Large Optic Coater 20

Nanolaminate layers: A few Å of A-C for release layer Au layer for outer surface 446 periods of: 43.5 nm crystalline Zr layer 3.4 nm amorphous Zr/Cu layer Finished 1.52 m nanolaminate being removed from VLOC Very Large Optical Coater

The LLNL group has participated in the development of EUV/x-ray multilayer optics for various applications EUV Lithography EUV solar physics telescopes (NASA s SDO and NASA/NOAA s GOES-R) Solar Dynamics Observatory (SDO). Launched Feb.11, 2010. 30.4 nm 21.1 / 19.3 / 17.1 nm X-ray optics for the LCLS free-electron laser Hard x-ray /gamma-ray optics for target diagnostics, astrophysics and radiation detection NASA s NuSTAR

Highlights from recent EUV/x-ray multilayer research by the LLNL group Mo/Si with low-stress, high-r at 13.5 nm 20 pm rms figure error Photo courtesy Zygo Corp. Corrosion-resistant Al-Mg/SiC (25-80 nm) SiC M2 mirror for 0.5-NA MET 282 mm Soufli et al, EUVL Workshop (2016) Reduced-stress B4C and SiC coatings for LCLS (0.5 24 kev) 80 mm SiC Mg SiC 20 nm Al-Mg Mg Soufli et al, APL (2012) Fernandez-Perea et al, Opt. Expr. (2012) Hard x-ray /gamma-ray multilayers for target diagnostics, astrophysics and radiation detection Mo/Si @ 17.5 kev WC/SiC to 650 kev up Soufli et al, Appl. Opt. (2012) Pardini et al, Opt. Expr. (2016) Brejnholt et al, Opt. Expr. (2014)

Challenges Atoms are too big Positions of atoms are random Could we control position of each atom? Our MLs are 1D 2D: gratings 3D: Lithography and MLs 24

Future Challenges Diffraction limited telescopes Phase contrast for medical x rays Atomic resolution for general objects Thank You! 25