Temperature Issues in Modern Computer Architectures

Similar documents
Implications on the Design

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Temperature-Aware Analysis and Scheduling

A 51pW Reference-Free Capacitive-Discharging Oscillator Architecture Operating at 2.8Hz. Sept Hui Wang and Patrick P.

Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores

Energy-Optimal Dynamic Thermal Management for Green Computing

CIS 371 Computer Organization and Design

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Lecture 2: Metrics to Evaluate Systems

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Low Power CMOS Dr. Lynn Fuller Webpage:

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Lecture 15: Scaling & Economics

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

Component-based Analysis of Worst-case Temperatures

The challenges of Power estimation and Power-silicon correlation. Yoad Yagil Intel, Haifa

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Mitigating Semiconductor Hotspots

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Practical Dynamic Thermal Management on Intel Desktop Computer

Blind Identification of Power Sources in Processors

Online Work Maximization under a Peak Temperature Constraint

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Throughput of Multi-core Processors Under Thermal Constraints

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

On the Design and Application of Thermal Isolation Servers

JETC: Joint Energy Thermal and Cooling Management for Memory and CPU Subsystems in Servers

Thermomechanical Stress-Aware Management for 3-D IC Designs

UTILIZING DARK SILICON TO SAVE ENERGY WITH COMPUTATIONAL SPRINTING

Lecture 2: CMOS technology. Energy-aware computing

Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Dynamic Thermal Management of Processors Using Thermoelectric Coolers

Today. ESE532: System-on-a-Chip Architecture. Why Care? Message. Scaling. Why Care: Custom SoC

Transient Thermal Measurement and Behavior of Integrated Circuits

Analytical Model for Sensor Placement on Microprocessors

ECE321 Electronics I

VLSI Design I; A. Milenkovic 1

Full-Spectrum Spatial Temporal Dynamic Thermal Analysis for Nanometer-Scale Integrated Circuits

Low power Architectures. Lecture #1:Introduction

STUDY OF THERMAL RESISTANCE MEASUREMENT TECHNIQUES

SIMULATION AND ASSESSMENT OF AIR IMPINGEMENT COOLING ON SQUARED PIN-FIN HEAT SINKS APPLIED IN PERSONAL COMPUTERS

Boundary Condition Dependency

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration

Thermal-Aware Global Real-Time Scheduling on Multicore Systems

Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Heap Charge Pump Optimisation by a Tapered Architecture

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

POWER SUPPLY INDUCED JITTER MODELING OF AN ON- CHIP LC OSCILLATOR. Shahriar Rokhsaz, Jinghui Lu, Brian Brunn

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

Switching Activity Calculation of VLSI Adders

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

CSE493/593. Designing for Low Power

THERMAL ANALYSIS AND OPTIMIZATION OF THERMAL PAD THICKNESS FOR TRANSISTOR MOUNTING IN FOR CPU S

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Moore s Law Forever?

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

Chapter 2 HEAT CONDUCTION EQUATION

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Objectives for Energy Reduction

CHAPTER 1 Introduction to Differential Equations 1 CHAPTER 2 First-Order Equations 29

SECTION #1 - The experimental setup

Reducing power in using different technologies using FSM architecture

Lecture 20: Thermal design

Performance, Power & Energy

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

A Fast Leakage Aware Thermal Simulator for 3D Chips

Lecture 16: Circuit Pitfalls

Thermal Scheduling SImulator for Chip Multiprocessors

ENSC 388. Assignment #8

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Analog computation derives its name from the fact that many physical systems are analogous to

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System

Interconnect Lifetime Prediction for Temperature-Aware Design

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER

Chapter 8. Low-Power VLSI Design Methodology

Enhancing the Sniper Simulator with Thermal Measurement

Transcription:

12 Temperature Issues in Modern omputer Architectures Basis: Pagani et al., DATE 2015, ODES+ISSS 2014 Babak Falsafi: Dark Silicon & Its Implications on Server hip Design, Microsoft Research, Nov. 2010 Siehe auch publications unter http://parsa.epfl.ch/~falsafi/ Hadi Esmaeilzadeh: Dark Silicon and the End of Multicore Scaling, International Symposium on omputer Architecture (ISA 11)

Ps: Problem: Power density increasing Nuclear reactor Prescott: 90 W/cm², 90 nm [c t 4/2004] Intel M. Pollack, Micro-32 12, 2016-2 -

Ps: Just adding transistors would have resulted in this: Reuters: December 9, 2004: Men should keep their laptops off their laps because they could damage fertility, an expert said on Thursday. Laptops, which reach high internal operating temperatures, can heat up the scrotum which could affect the quality and quantity of men s sperm. The increase in scrotal temperature is significant enough to cause changes in sperm parameters, said Dr Yefim Sheynkin, an associate professor of urology at the State University of New York at Stony Brook. 12, 2016-3 -

How do We Now ook 12, 2016-4 -

Ps: Surpassed hot (kitchen) plate? Why not use it? Strictly speaking, energy is not consumed, but converted from electrical energy into heat energy http://www.phys.ncku.edu.tw/ ~htsu/humor/fry_egg.html 12, 2016-5 -

ooling Matters Thermoelectric cooling Liquid cooling Refrigeration cooling etc. 12, 2016-6-

Thermal Modeling: A Single Power Source Thermal conduction Fourier s Law of ooling: the temperate change is proportional to the different of the chip and the ambient temperature (or the heat sink temperature) If the chip is hotter, the temperature change drops more If the chip is cooler, the temperature change drops less Heating generation is proportional to the power consumption If the power consumption is larger, the temperature change increases more If the power consumption is smaller, the temperature change increases less Therefore, T (t) = up(t) v(t(t)-t amb ) T(t) is the temperature of the power source at time t P(t) is the power consumption of the power source at time t T amb is the ambient temperature. I will simple use it as 0. Why? u and v are both hardware-dependent constants. 12, 2016-7 -

Solving Ordinary Differential Equation (ODE): T (t) = up(t) vt(t) 12, 2016-8 -

Different Traces versus Temperature 12, 2016 Thiele et al. DATE 2011-9 -

Thermal-Dependent Leakage Power onsumption huan-yue Yang, Jian-Jia hen, Lothar Thiele, Tei-Wei Kuo: Energy-efficient real-time task scheduling with temperaturedependent leakage. DATE 2010: 9-14 12, 2016-10 -

Dynamic Thermal Management (DTM) Avoid possible over heating DVFS DPM 12, 2016-11 -

Thermal Networks Multiple Heat Sources Thermal models of applications depend on neighbouring cores. A resistance-capacitance (R) thermal network is widely used A set of first order differential equations Steady states (the equilibrium temperatures if the power does not change) Simple linear algebra Transient states (temperature profile in time) Approximate the solution by using fourth-order Runge- Kutta numerical method [HotSpot, Huang et al. 2009] Exact solution by using matrix exponential (many approximations are available) methods [MatEx, Pagani et al. to be published in DATE 2015] [P.-Y. Huang and Y.-M. Lee, Full-chip thermal analysis for the early design stage via generalized integral transforms, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 5, pp. 613 626, May 2009. ;] [Santiago Pagani, Muhammad Shafique, Jian-Jia hen and Jörg HenkelMatEx: Efficient Transient and Peak Temperature omputation for ompact Thermal Modelsin 18th Design, Automation & Test in Europe (DATE) 2015 ;] 12, 2016-12 -

Thermal Model " Thermal model System of first-order differential equations Relates temperature with power values and T amb For example, R thermal networks (like HotSpot) " R thermal network details Temperature Vectors onstant Matrices Power Vector onstant Vector Ambient Temperature 12, 2016-13 -

Heat Transfer: Impulse Response Thiele @ ETHZ 12, 2016-14 -

omputing Transient Temperatures Thermal equation with matrix exponentials Steady-State Temperatures (where vector T converges) R thermal network Express with matrix exponentials Solve the matrix exponential Analytical Solution: Tk(t) Matrix Exponential Initial Temperatures (at t = 0) Pagani et al., DATE 2015 12, 2016-15 -

The Dark Silicon Problem So far: onstant power density Tech. Node A 100 W 100 mm 2 Scaling 1 W / mm 2 = 1 W / mm 2 Tech. Node B 50 W OK 50 mm 2 " Expected: Power density increases Tech. Node A 100 W 100 mm 2 Scaling 1 W / mm 2 < 2 W / mm 2 Tech. Node B 100 W 50 mm 2 12, 2016-16 -

The Emerging Dark Silicon Problem 344.9 342.8 22nm 11nm and Beyond 340.7 338.6 336.5 334.4 332.3 331.0 lassical Scaling Device count S 2 Device frequency S Device power (cap) 1/S Device power (V dd ) 1/S 2 Power Density 1 (Src: Dennard Scaling ) Limited Scaling Device count S 2 Device frequency S Device power (cap) 1/S Device power (V dd ) ~1 Power Density S 2 Percentage Dark Silicon 100 100 75 75 50 50 25 25 0 0 16 16nm nm 11 11nm nm 8 8nm nm Technology Node Esmaeilzadeh@ISA 11 Henkel, Shafique@DA 15 12, 2016-17 -

DSS=decision support system 12, 2016-18 -

2 12, 2016-19 -

2 12, 2016-20 -

Grenze durch verfügbare Bandbreite (klein bei wenig ache) 2 12, 2016-21 -

Optimaler Takt Nur Flächenbeschränkung Taktung mit 10 GHz Bandbreitengrenze 12, 2016-22 -

12, 2016-23 -

12, 2016-24 -

12, 2016-25 -

Power Budget / Power onstraint Abstraction: Not deal directly with temperature. Generally, a power budget (for thermal safety) is a single value: For each core (per-core). For the entire chip (per-chip). 12, 2016-26 -

Per-hip / Per-ore Power Budgets 16 cores with area 5.3 mm 2 Threshold temperature: 80 Power budget: 90 W Highest Temperature: 80.0 8 active cores Highest Temperature: 86.3 6 active cores Highest Temperature: 98.8 4 active cores Pagani et al., ODES+ISSS 2014 12, 2016-27 -

Per-hip / Per-ore Power Budgets 16 cores with area 5.3 mm 2 Threshold temperature: 80 Power budget: 90 W Highest Temperature: 80.0 8 active cores Highest Temperature: 73.2 12 active cores Highest Temperature: 69.5 16 active cores Pagani et al., ODES+ISSS 2014 12, 2016-28 -

Problem with Per-hip / Per-ore Power Budgets 16 cores with area 5.3 mm 2 Threshold temperature for DTM: 80 Power budget: 90 W 12, 2016 Pagani et al., ODES +ISSS 2014-29 -

Thermal Safe Power (TSP): Power Budget depending on # of activated cores Power budget depends on the number of active cores Safe for any m active cores => Abstract mapping decisions TSP table: 12, 2016 Pagani et al., ODES+ISSS 2014-30 -