Laser plasma EUVL sources progress and challenges

Similar documents
EUV spectroscopy of mass-limited Sn-doped laser microplasmas

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

The Repeller Field debris mitigation approach for EUV sources

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Diagnostics for laser plasma EUV sources

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

EUV lithography and Source Technology

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Evaluation at the intermediate focus for EUV Light Source

Important processes in modeling and optimization of EUV lithography sources

Spectral control of emissions from Sn-doped targets for EUV lithography

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Optimization of laser-produced plasma light sources for EUV lithography

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Comparison of EUV spectral and ion emission features from laserproduced

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Efficient EUV source by use of a micro-target containing tin nanoparticles

X-Rays From Laser Plasmas

BEUV nanolithography: 6.7 or 11 nm?

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

EUV-Technology with Discharge EUV-Lamp"

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Progress in LPP EUV Source Development by Japan MEXT Project

COST MP0601 Short Wavelength Laboratory Sources

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

UC San Diego EUV Lithography Group Progress Report

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept.

Utsunomiya University Experiments, September - November 2011

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

SUPPLEMENTARY INFORMATION

Status of EUV Sources for Mask Metrology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Secondary Ion Mass Spectrometry (SIMS)

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

EUV Reflectivity measurements on Acktar Sample Magic Black

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

Plasma Radiation. Ø Free electrons Blackbody emission Bremsstrahlung

SUPPLEMENTARY INFORMATION

High intensity EUV and soft X-ray X plasma sources modelling

Padraig Dunne, UCD School of Physics Dublin, Ireland.

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Chamber Development Plan and Chamber Simulation Experiments

Inertial Confinement Fusion Experiments & Modeling

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a).

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Observation of a multiply ionized plasma with index of refraction greater than one

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Pulsed-power based bright EUV light source for metrology

The wavelength reconstruction from toroidal spectrometer image data

High-Harmonic Generation II

Introduction to FT-IR Spectroscopy

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Laser Dissociation of Protonated PAHs

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Appendix A Detector Calibration

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Overview of EUV Lithography and EUV Optics Contamination

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

We published the text from the next page.

EUV sources using Xe and Sn discharge plasmas

EUV Lithography Towards Industrialization

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

EE 6313 Homework Assignments

Today, I will present the first of two lectures on neutron interactions.

Laser and pinching discharge plasmas spectral characteristics in water window region

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation

Photoelectron Spectroscopy using High Order Harmonic Generation

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida

Supplementary Materials

Soft X - Ray Optics: Fundamentals and Applications

The Future of EUV sources: a FIRE perspective

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

MAPPING OF ATOMIC NITROGEN IN SINGLE FILAMENTS OF A BARRIER DISCHARGE MEASURED BY TWO PHOTON FLUORESCENCE SPECTROSCOPY (TALIF)

Experimental X-Ray Spectroscopy: Part 2

Development of Polarization Interferometer Based on Fourier Transform Spectroscopy for Thomson Scattering Diagnostics

Measurement of EUV scattering from Mo/Si multilayer mirrors

High Efficiency Collector for Laser Plasma EUV Source.

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Internal magnetic field measurement in tokamak plasmas using a Zeeman polarimeter

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Transcription:

Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University of Central Florida M. Al-Rabban Physics Dept, Qatar University, Doha, Qatar H. Scott Lawrence Livermore National Laboratory ABSTRACT The most pressing technical issue for the success of EUV lithography is the provision of a high repetition-rate source having sufficient brightness, lifetime, and with sufficiently low off-band heating and particulate emissions characteristics to be technically and economically viable. We review current laser plasma approaches and achievements, with the objective of projecting future progress and identifying possible limitations and issues requiring further investigation. 1. Introduction Laser plasma EUV sources, like those produced with gas discharges need to meet very high performance levels for EUV lithography to become a viable technology for the fabrication of computer chips at the 45 nm node and beyond. Apart the requirement for in-band power levels at the intermediate focus (IF) of > 100 W of 13.5 nm light within a 2% spectral bandwidth,and collection mirror lifetimes in excess of 30,000 hrs, issues of source size, stability, architecture and finally costs are also important 1. Laser plasmas in principal have many attractive features for this application. The laser energy is delivered to the source by optical coupling, and therefore the laser, and its associated infrastructure can be located separate to the stepper itself, unlike a discharge source, which requires much of its cooling and power systems to be integral. Depending on geometry and laser architecture, laser plasmas can more easily extend to higher frequencies and therefore greater dose stability; source repetition rates of several 10 s khz do not stretch technical limits. Moreover, the margins on source size are wider with laser plasmas. With expectations that all steppers will require an etendue of 1-3.3 mm 2 sr, implying sources sizes of <1 mm, the likelihood increases that discharges sources sizes may step out of this range as power levels rise. Since laser plasma source sizes are much less than this, and higher powers can be achieved through increased repetition-rates, this aspect is less threatening. Thus, despite the current imbalance in performance between discharge sources, that have now demonstrated sustainable powers of ~ 10 W at the IF 2, and laser plasma sources, that have barely demonstrated one tenth of this, we believe they still offer an attractive long-term pathway towards a viable EUV source. In addition to the above considerations, there are, arguably, less severe roadblocks to high powers with the laser plasma approach, particularly bearing in mind the heat dissipation issues discharge sources must contend with at source powers approaching those required at the IF. The most serious impediment the laser plasma approach suffers from is cost, and more directly conversion efficiency (CE) of laser light to useful in-band emission. Assuming conservatively a loss factor of 50% in transmitted light between the source and the IF (as would be accounted for with double-mirror (R=70%) collection system), and hemispherical light collection, with a CE ~ 0.7% (2% bandwidth into 2π sr) for current Xe sources, implies a required laser power of ~ 30 kw. Not only is this somewhat beyond current capabilities, but more importantly, its cost would probably be prohibitive. This estimate moreover does not take into account any costs in light transmission associated with debris mitigation. * C. Keyser is now with the Naval Research Laboratories in Washington, DC., USA. Emerging Lithographic Technologies VIII, edited by R. Scott Mackay, Proceedings of SPIE Vol. 5374 (SPIE, Bellingham, WA, 2004) 0277-786X/04/$15 doi: 10.1117/12.541586 447

The greatest leverage factor to improving the viability of the laser plasma approach for EUV is therefore an improvement in the CE. Although this is necessary, it is not, in itself sufficient. As we point out here, although there is a scenario for increased CE, we have yet to define well enough the conditions existing with regard to ion and particle emission to make an assessment of the degree of difficulty of ensuring mirror lifetime, and the measures possible that will assure their attainment. 2. Xenon versus Tin We and others recognized early that tin targets were efficient in generating 13 nm emission 3,4, but the severity of debris issues associated with planar targets encouraged the search for other plasma media. This led us to examine water-droplet targets 5, which minimized debris, and early experiments with Xe cluster targets 6, demonstrated the advantages of using a gaseous target. As EUVL source power ga(sec -1 ) Ru-like Xenon requirements increased the CE of these plasma media became more important. This caused us Xe +10 to begin examining other materials in 2000, and, in particular to revisit tin as a source material. The advantage of tin in so far as CE is concerned can easily be appreciated by reference to Fig.1. This figure shows Cowan code 8 predictions of all 1.0x10 the lines that constitute the emission band 13 (commonly called an unresolved transition array 0.5x10 (UTA)), centered at 11 nm that is the primary 13 emission from Xe +10, the dominant ion in a ~ 30 ev temperature Xe plasma. Although all the 80 8 90 9 100 110 120 13 130 14 140 15 150 160 170 180 190 200 ionized states of Xe (Xe +6 Xe +14 ) exhibit Wavelength(Å) Wavelength emission a UTA at 11 nm, then it is seen that only Xe +10 (and possibly Xe +12 ) has any emission lines in the region of 13.5 nm 9,10. However this secondary UTA is much weaker than the main band at 11 nm. It is this restriction that limits the CE of Xe plasma sources to < 1%. However, by moving from a Xe (Z = 54) to tin (Z = 50), the main UTA moves from the 11 nm region to the 13.5 nm region, as indicated by the dotted lines. Moreover, at the optimum plasma temperature (again estimated to be ~ 30 ev), nearly all the ion species existing in the plasma (Fig. 2, from Sn +7 to Sn +14 ), will contribute to in-band emission 11, as is shown by the Cowan code predicted emission for several ions in Fig.2. Of course, this depends on the detailed spectral structure of the UTA for each ion, which at present is not known. Fractional population 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 Sn +1 Sn +2 Sn +3 Sn +4 Sn +5 28 ev Sn Sn+10Sn +9 +11 Sn +6 Sn +7 Sn +13 Sn +8 Sn +12 Sn +15 Sn +14 ga (sec -1 ) 80 ga(sec -1 90 100 110 120 130 140 150 160 170 180 190 200 80 90 100 110 120 130 140 150 160 170 180 190 200 ) Mo-Like tin Wavelength (Å) ga(sec -1 ) Wavelength Y--Like tin ( Å ) 80 90 ga (sec -1 100 110 120 130 140 150 160 170 180 190 200 80 90 ga (sec -1 100 110 120 130 140 150 160 170 180 190 200 ) Nb-Like Wavelength tin (Å) ) Zr-Like tin Wavelength ( Å ) Sn +8 Sn +8 0 10 100 Temperature (ev) 80 90 100 110 120 130 140 150 160 170 180 190 200 80 90 100 110 120 130 140 150 160 170 180 190 200 Wavelength (Å) Wavelength (Å) Fig. 2. Predicted relative ion population for a plasma temperature of 28 ev. Cowan code predictions of the relative spectral emission in the 13 nm region. Sn +7 Sn +9 Tc-like tin Sn +7 Sn +9 ga(sec -1 ) Sn +12 Sn +11 Sr-Like tin Sn +12 Sn +11 Sn Sn +10 +10 448 Proc. of SPIE Vol. 5374

Thus the use of tin benefits conversion efficiency of the source in two ways: firstly from utilizing the main UTA band, and secondly from the contributions of all tin ions present in the plasma. 3. Dynamics of droplet laser plasmas. The EUV conversion efficiency, the emitted ion spectrum (which affects the rate of mirror erosion) and the fractional generation of particle debris, which also determines mirror lifetime, are all affected by the plasma dynamics in the interaction region. With droplet targets we are able to define, and moreover, measure the plasma dynamics more precisely than say with massive planar targets 12. Moreover these measurements can be compared with plasma model calculations of the expansion dynamics, based on quasi-one-dimensionality. We have put considerable effort towards characterizing the plasma expansion as a function of time during the laser interaction phase of the plasma lifetime. In particular we have developed high- resolution (~ 2 µm), sub-nanosecond laser interferometry of the plasma expansion 13. This diagnostic give a time-dependent picture of the expansion of the plasma around the spherical target, and measures the electron density distribution in the plasma. The latter can them be compared to model predictions of the development of the electron density profile, based on the laser light absorption processes, and the electron and radiation transport within the plasma. Interferometry in these experiments has been accomplished by using a sample of the laser beam, up converting it to a higher harmonic (530 nm), and taking a small temporal slice of this beam as the illumination source of the interferometer 14, Fig.3. Q-Switched Nd:Yag Laser 1064 nm & SHG 532 nm Spatial filter pulse slicer CCD 532 filter Wollaston Prism polarizer pin hole Fig.3. Incorporation of special pulse-slicing system for sub-nanosecond interferometry of droplet laser plasmas. Typical interferogram of the plasma, taken at the peak of the irradiating 10 ns laser pulse. The original target diameter (dotted ring) was ~ 30 µm dia.). The laser beam irradiates from the right. Detailed measurements of the expansion of the plasma have been published, together with comparisons with the predictions of hydrodynamic plasma models. The results of more detailed studies are being prepared for publication at this time. In the future, we will improve this technique by using shorter wavelength probe light, thereby accessing the plasma profile at high values of the electron density. 4. Spectroscopy and Metrology of In-band 13.5 nm emission We are employing a combination of precision spectroscopy and calibrated 13 nm metrology to quantify the spectral emission characteristics of the droplet target source 15. Since the plasma expansion is quasi-isotropic, at least over the 2π facing the irradiating laser beam, single point measurements can provide a reasonably accurate quantitative assessment of overall conversion of laser light to EUV emission. Two types of spectrometers are used to examine the emission in the 13 nm region. A flat-field (nominal 1200 lines/mm, Hirada grating) spectrometer 16 is used to provide the highest resolution, while a transmission-grating spectrometer, (incorporating a free-standing 5000 lines/mm gold grating) is used to examine the broad band emission in the immediate vicinity of the 13 nm UTA. These instruments can be deployed at various positions on the vacuum chamber of our EUV Radiation Facility, relative to the incoming laser beam, as is shown in Fig.4. Typical spectra obtained from the FFS is shown in Fig. 4. Proc. of SPIE Vol. 5374 449

Future studies will include improved spectral resolution of the 13 nm UTA emission, in order to determine the detailed plasma emission and opacity characteristics of the plasma. In this way we hope to be able to make inferences on the relative ion population, and details of their excitation dynamics. In addition, we will later deploy a conventional 40 cm, channel-plate intensified spectrometer having a much larger spectral range, (5 nm -70 nm), to characterize and quantify the off-band XUV emission from the plasma. These measurements are required in order to assess the level of thermal heating experienced by the first collimating mirror, which will absorb all out of band emission. Flat Field Spectrometer Flying Circus detector Normalized Photon Counts 1.20 1.00 0.80 0.60 0.40 Solid Tin planar target 27% tin-doped droplet target 27% Pure tin Tin of planar tin-doped droplet target Laser input 0.20 0.00 12 13 14 15 16 17 18 19 Wavelength (nm) Fig.4. Photo of EUV Radiation facililty showing the Flying Circus instrument and the Flat Field spectrometer. Typical spectra of the 13 nm emission obtained from the Flat Field Spectrometer for solid tin targets and doped droplet laser plasma targets. 5. Modeling the performance of droplet laser plasmas We have for many years utilized detailed hydrodynamic modeling of the plasma expansion to guide the determination and characterization of the laser plasma sources we have designed for EUVL 3. Our baseline fluid plasma code, MED103, is a 90-cell 1-dimensional Lagrangian hydrodynamic code that contains nearly all the physics of the laserplasma coupling processes and electron and radiation transport with a simple average ion model 17. The code selfconsistently determines the primary parameters of the plasmas as a function of time and space. Typical predictions of the spatial dependence of the electron density and temperature are shown in Fig. 5. These predictions, particularly of the electron density, as a function of time can be directly compared with plasma diagnostics, such as the interferometric studies referred to above, and therefore build a firm understanding of the physical characterization of the plasma. 1.0E+23 1.0E+22 0 ns Ne(cm -3 ) 1.0E+21 60 50 40 30 20 1.0E+20 10 1.0E+19 0 0 20 40 60 80 100 R(µm) Te(eV) Intensity(a.u.) 1.0 0.8 0.6 synthetic experiment 0.4 0.2 0.0 10 12 14 16 18 20 λ(nm) Fig.5. shows plasma code predictions of the electron density and temperature profiles for an initial 35 µm diameter water droplet irradiated by a Gaussian (FWHM = 10 ns), 1064 nm laser pulse with a peak intensity of 5 x 10 11 W/cm 2, observed at the peak of the laser pulse (t = 0 ns). Fig.5 shows the predictions of the code, SPECTRA, of the Oxygen line emission spectrum from a plasma at 20 ev with a density of ~ 10 21 cm -3. Once we have a time dependent prediction of the plasma density and temperature distributions, the next challenge is to estimate the spectral emission that would result from the plasma. This we have done for water droplet targets by using 450 Proc. of SPIE Vol. 5374

an LTE radiation transport model 18 (SPECTRA), that utilizes atomic data from the Opacity Project Database, and plasma data from the MED103 simulations to predict synthetic spectra. Fig. 5 shows one of these synthetic spectra, for a 20 ev plasma, compared to an actual spectra obtained for the conditions illustrated in Fig. 5, indicating good agreement with the experimental spectra, both in the relative amplitudes of the emission lines, and in the wide of these lines. This approach works well enough for low Z ions, but higher Z ions such as Xe and Sn require a more detailed approach. Because of the complexity of transitions in plasmas of these materials, and the ion-specific and plasma-density dependent radiation transport in such plasmas, a non-lte detailed atomic physics model should be used. To this end we are adapting a non-lte 2-D radiation transport code, CRETIN, that will be coupled to the plasma hydrocode, to provide a self-consistent model of the radiation transport in the plasma, and high resolution synthetic spectra of the UTA emission. 6. Ion and particulate emission from Tin-doped droplet plasmas Recognizing the arguments in favor of utilizing tin or tin-doped laser plasmas as sources for EUV emission in the 13 nm region, forces exhaustive investigations of the consequences of ion and metal particle emission from these plasmas for the collection optics. Whereas the primary fear of a Xe source is the possible erosive effects of Xe ions on the multilayer collection optics, with tin, not only is there this concern, with the heightened probability of progressive Sn-ion implantation in the mirrors, but also any neutral or particulate material may deposit tin on the surface of the mirror, leading to its premature degradation. We have long recognized the threat posed by both ions and particulate matter. The avoidance of the latter is the principal motivation behind the strategy of mass-limited targets. If all the target material is ionized then no cold particulate material will exist. We also discovered the effects of erosion of Oxygen ions on multilayer mirrors in early experiments with water droplet targets 19. In fact Oxygen is a threat to multilayer mirrors from two separate viewpoints. We found that Mo/Si multilayer mirrors positioned 30 mm from the source were eroded by Oxygen ions from plasmas having a nominal temperature of ~ 30 ev. However, if the plasma temperature were lower, or a background gas was introduced, having the effect of slower Oxygen ions impacting the mirror, then rather than erosion occurring, oxidization of the upper Si layers occurs, producing more enhanced degradation of the mirror than by erosion alone. Clearly both these effects must be avoided. With Xe plasmas, erosion is the primary concern. Tin-doped targets present more serious challenges. Our hope is that we can completely ionize all the Tin atoms in our mass limited targets. Initial tests under current conditions indicate that we are not yet accomplishing this. Nonetheless, our latest results using electrostatic mitigation fields, indicated that a large fraction of the particulate matter that is still generated, mostly in the form of minute aerosols of tin, are in fact electrically charged, and are therefore amenable to mitigation by electrostatic fields. Ultimately, greater understanding of the target plasma dynamics should lead to reduce particulate emission. Additionally, the development of improved mitigation techniques, and perhaps their deployment in an integrated approach will result in considerable longer mirror lifetime. However the severity of this problem, and the need for greater confidence now that mirror lifetimes of 30,000 hrs can ultimately be achieved ( where lifetime is determined by a 10% reduction in reflectivity), demands a more strategic approach to particle and ion emission measurements. To this end we are now involved in a detailed characterization of the individual ion emission from droplet tin-doped laser plasmas. Using various ion spectrometric techniques we are characterizing the ion energies at locations relative to the source that are comparable to collector mirror locations. We believe it is also necessary to also examine erosion mechanisms and the reduction of erosion by the selection of suitable mirror materials. The current investigations of capping layers for reducing the long term effects of contaminants should therefore also take into account the vulnerability of these layers to ions. 7. Mitigation of ion and particulate emission from Tin-doped droplet plasmas Reference to the accompanying paper describing the investigation of debris mitigation approaches shows that most of our efforts have so far been focused on the use of electrostatic Repeller Fields. Our early studies showed these were effective in inhibiting Oxygen ion erosion of multi-layer mirrors 19. We have recently noted their effectiveness in also reducing charged particulate emission 20. However, it is our current view that the ion erosion issue is so severe that alone, this approach most probably will provide insufficient protection of the mirrors. Especially with regards to tin- Proc. of SPIE Vol. 5374 451

doped mass limited targets, for which the threat to the first collection comes from both ions and particulate matter, we believe a combination of mitigation approaches will be needed to provide the required protection. 8. Prospects for the improved performance Here we argue that the ceiling to the maximum CE at 13.5 nm attainable with Xe, primarily set by the basic atomic physics of the emission from Xe ions, provides a strong incentive to investigate more efficient sources for EUVL. Even projecting reduced costs for optical diodes used for high repetition-rate solid-state lasers in outgoing years, the capital cost, technical complexity, and power consumption of a 80 wafer levels/hr, 300 mm EUV stepper, based on a Xe laser plasma source will probably be outside all economic models for EUVL. We outline the reasons why plasmas based on tin will provide a much higher efficiency. This is already proving to be the case. With our current understanding of the radiation physics of dense tin laser plasmas, it is reasonably safe to predict CE values sufficiently large (several percent), to bring the laser-plasma approach within practicality and most probably also with acceptable cost scenarios. Already reliable values for the CE from tin laser plasmas above 1% have been demonstrated with mass-limited tin-doped droplet laser plasmas, and CE values in the 2-3% range have been recorded with solid-tin plasmas. Values of this order would imply lasers in the power range of ~ 10 kw, which will undoubtedly be commercial systems by the time EUV steppers are inserted into production. Thus the pathway forward in the development of low debris tin laser plasma sources, lies in the determination of the detailed radiation physics of tincontaining laser plasmas, and in optimizing the CE of given laser plasma regimes taking into account not only efficiency but the need to satisfy the stringent debris requirements. The way forward and the achievement of the required goals with regards to attaining the required mirror lifetimes are less predictable at the present time. Thus, satisfying the debris criteria for a EUV source may be more difficult than achieving reasonable values of the CE. It is therefore important to improve the confidence level that the require mirror lifetimes can be achieved. Deliberative studies in specific directions should help substantiate this. Detailed studies of the ion energies at distances from the source relevant to the collection mirrors will baseline the sources of erosion. This will then allow quantitative measurements of the processes of erosion, leading to improvements in mirror materials and techniques that reduce ion fluxes at the mirrors. With tin targets there is also the need to eliminate particulate debris from the collector mirrors. At least with the droplet laser plasma we are developing, there are ground for optimism in being able to reduce this emission at the source, by control of the plasma physics, and by the implementation of improved and additional particle mitigation schemes. Thus a deterministic approach to developing combinations of debris mitigation approaches is required. This will only be achieved through detailed characterization of the particle emission and its causes. Acknowledgments The authors gratefully acknowledge useful discussions with Dr. Gerard O Sullivan from University College, Dublin; the technical support of colleagues at LPL, Dr. Greg Shimkaveg, Rob Bernath and Joshua Duncan. This work is supported by International SEMATECH and the State of Florida. References 1. Y. Watanabe, K. Ota & H. Franken, Source requirements, presentation at ISMT EUV source Workshop, Sept 29, 2003. 2. J. Pankert, Philips EUV lamp: Status and Roadmap, presentation at ISMT EUV source Workshop, Sept 29, 2003. 3. F. Jin and M. Richardson, Appl.Opt., 34, 750-760, (1995) 4. R. C. Spitzer et al, Conversion efficiencies from laser plasma for lithography applications J. Appl. Phys. 79, 2251-58, (1996) 5. F. Jin, K. Gabel, M. Richardson, M. Kado, A.F. Vassiliev, and D. Salzmann, Mass-limited laser plasma cryogenic target for 13-nm point x-ray sources for lithography, Proc. SPIE, vol. 2015, pp. 151-159, (1993): Water laser plasma x-ray point source and apparatus, by M. Richardson, M. Kado, K. Gabel & F. Jin, Patent No. 5,459,771, October 1995. 452 Proc. of SPIE Vol. 5374

6. Cluster Beam Targets for Laser Plasma Extreme Ultraviolet and Soft X-ray Sources, G. D. Kubiak and M. Richardson, Patent No. 5,577,092, November 1996. 7. First mentioned in a report by JMAR Res. Corp.at the EUVL Source Workshop, March 2, 2001, Santa Clara, CA. 8. R. D Cowan, The Theory of Atomic Spectra", Univ. California Press, (1981) 9. M.A. Klosner, and W.T. Silfvast, Intense xenon capillary discharge extreme-ultraviolet source in the 10 16-nmwavelength region, Opt. Lett., 23, 1609 (1998) 10. N. Bowering, M. Martins, W.N. Partlo, I.V. Fomenkov, Extreme ultraviolet emission spectra of highly ionized xenon and their comparison with model calculations, J. App. Phys., 95, 17 (2004) 11. G. O Sullivan and P. Dunne, Spectroscopy of a 13.5nm laser plasma source, presentation at ISMT EUVL Source Workshop, Oct 2002, Dallas, TX 12. C. Keyser, M. Richardson, & E. Turcu Studies of high-repetition-rate laser plasma EUV sources from droplet targets Applied Phys. A77, 217-221, 2003 13. C. Keyser, R. Bernath, M. Al-Rabban and Martin Richardson, Dynamics of mass-limited, laser plasma target as sources for EUV lithography Jap. Jnl. Appl. Phys 41, pp. (2002) 14. J. Tawney, M. Richardson, Electro-optic deflector Isolator for short laser pulse contrast enhancement, Proc. CLEO- 2001, p.97 (also submitted for publication.) 15. C-S. Koay, et al., High conversion efficiency tin material laser plasma source for EUVL, Proc. SPIE, vol. 5037, pp. 801-806 (2003) 16. W. Schwanda, K. Eidmann, and M.C. Richardson, Characterization of a flat-field grazing-incidence XUV spectrometer, J. X-ray Sci. and Tech., vol. 4, pp. 8-17 (1993) 17. P.A. Rodgers, A.M. Rogoyski, and S. J. Rose, MED101: a laser-plasma simulation code. User guide (Appleton, Rutherford, N.J., 1989) 18. D. Heading, J. Wark, et. al, Phys Rev. E, 56 1997, p936 19. G. Schriever, M. C. Richardson, E. Turcu, "The droplet laser plasma source for EUV lithography," Proceedings of CLEO, pages 393-394, 7-12 May 2000. 20. K. Takenoshita, M. C. Richardson et al., "The repeller field debris mitigation approach for EUV sources," Emerging Lithographic Technologies VII, SPIE, volume 5037, pages 792-800, 2003. Proc. of SPIE Vol. 5374 453