Today. ESE532: System-on-a-Chip Architecture. Why Care? Message. Scaling. Why Care: Custom SoC

Similar documents
Today. ESE534: Computer Organization. Why Care? Why Care. Scaling. ITRS Roadmap

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass

! VLSI Scaling Trends/Disciplines. ! Effects. ! Alternatives (cheating) " Try to predict where industry going

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Lecture 15: Scaling & Economics

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 4: Technology Scaling

! MOS Capacitances. " Extrinsic. " Intrinsic. ! Lumped Capacitance Model. ! First Order Capacitor Summary. ! Capacitance Implications

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Lecture 5: CMOS Transistor Theory

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Refinement. Last Time. No Field. Body Contact

VLSI Design The MOS Transistor

MOSFET: Introduction

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor I-V Characteristics and Parasitics

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Lecture 4: CMOS Transistor Theory

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistor Theory

EE410 vs. Advanced CMOS Structures

MOSFET Physics: The Long Channel Approximation

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

MOS Transistor Properties Review

Appendix 1: List of symbols

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

MOS Transistor Theory

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

6.012 Electronic Devices and Circuits

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Section 12: Intro to Devices

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

Scaling Impact on Analog Circuit Performance

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Nanoscale CMOS Design Issues

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Semiconductor Physics fall 2012 problems

EE 560 MOS TRANSISTOR THEORY PART 2. Kenneth R. Laker, University of Pennsylvania

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Lecture 3: CMOS Transistor Theory

! PN Junction. ! MOS Transistor Topology. ! Threshold. ! Operating Regions. " Resistive. " Saturation. " Subthreshold (next class)

CMOS Transistors, Gates, and Wires

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

ECE 497 JS Lecture - 18 Impact of Scaling

Device Models (PN Diode, MOSFET )

The Physical Structure (NMOS)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

CMOS Inverter (static view)

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

EE105 - Fall 2005 Microelectronic Devices and Circuits

Semiconductor Memories

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Extensive reading materials on reserve, including

EE105 - Fall 2006 Microelectronic Devices and Circuits

Digital Integrated Circuits EECS 312

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

CSE493/593. Designing for Low Power

ENEE 359a Digital VLSI Design

From Physics to Logic

EECS 141: FALL 05 MIDTERM 1

University of Toronto. Final Exam

ECE 305: Fall MOSFET Energy Bands

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

Long Channel MOS Transistors

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

The Devices. Devices

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Device Models (PN Diode, MOSFET )

Transcription:

ESE532: System-on-a-Chip Architecture Day 21: April 5, 2017 VLSI Scaling 1 Today VLSI Scaling Rules Effects Historical/predicted scaling Variations (cheating) Limits Note: gory equations! goal is to understand trends Give equations then push through scaling implications together 2 Message Technology advances rapidly Must account for in understanding platform will be available platforms will be inexpensive what our competitors can build new challenges and opportunities 3 Why Care? In this game, we must be able to predict the future Technology advances rapidly Reason about changes and trends Re-evaluate prior solutions given technology at time X. Make direct comparison across technologies E.g. to understand older designs What comes from process vs. architecture 4 Why Care: Custom SoC Cannot compare against what competitor does today but what they can do at time you can ship Development time > Technology generation Careful not to fall off curve lose out to someone who can stay on curve 5 Scaling Old Premise: features scale uniformly everything gets better in a predictable manner Parameters: " λ (lambda) -- Mead and Conway " F -- Half pitch ITRS (F=2λ) " S scale factor Rabaey " F =S F 6 1

ITRS Roadmap Preclass Semiconductor Industry rides this scaling curve Try to predict where industry going (requirements self fulfilling prophecy) http://public.itrs.net http://www.semiconductors.org/main/ 2015_international_technology_roadma p_for_semiconductors_itrs/ 7 Scale factor S from 28nm! 20nm? 8 MOS Transistor Scaling (1974 to present) S=0.7 [0.5x per 2 nodes] Half Pitch (= Pitch/2) Definition Metal Pitch Poly Pitch Pitch Gate (Typical DRAM) (Typical MPU/ASIC) Source: 2001 ITRS - Exec. Summary, ORTC Figure [from Andrew Kahng] 9 Source: 2001 ITRS - Exec. Summary, ORTC Figure [from Andrew Kahng] 10 Scaling Calculator + Cycle Time: 0.7x 0.7x 250 -> 180 -> 130 -> 90 -> 65 -> 45 -> 32 -> 22 -> 16 0.5x N N+1 N+2 Node Node Cycle Time (T yrs): *CARR(T) = [(0.5)^(1/2T yrs)] - 1 * CARR(T) = Compound Annual CARR(3 yrs) = -10.9% Reduction Rate (@ cycle time period, T) CARR(2 yrs) = -15.9% Source: 2001 ITRS - Exec. Summary, ORTC Figure [from Andrew Kahng] 11 Log Half-Pitch 1994 NTRS -. 7x/3yrs Actual -.7x/2yrs Linear Time Warning Dive into detail equations Not expect you necessarily know before Unless took 370, 570, 534 Won t expect you use later but, you want to have an idea of the implications (area, performance, energy) If I just showed you results I think would be hard to follow Not engaged So, we will do calculations together 12 2

Channel Length (L) Channel Width (W) Oxide Thickness (T ox ) Dimensions Scaling Channel Length (L) Channel Width (W) Oxide Thickness (T ox ) Doping (N a ) Voltage (V) 13 14 Full Scaling (Ideal Scaling, Dennard Scaling) Channel Length (L) Channel Width (W) Oxide Thickness (T ox ) S S S Doping (N a ) 1/S Voltage (V) S 15 Effects on Physical Properties? Area Capacitance Resistance Threshold (V th ) Current (I d ) Gate Delay (τ gd ) Wire Delay (τ wire ) Energy Power Go through full (ideal) then come back and ask what still makes sense today These are more the take-aways 16 " F# FS " Area impact? " Α = L W " Α # ΑS 2 Area S=0.7 [0.5x per 2 nodes] " 28nm # 20nm " 50% area " 2 capacity same area L W Preclass When will have 100-core processor What feature size? What time frame? Pitch Gate 17 18 3

Capacity Scaling from Wikipedia Capacitance Capacitance per unit area scaling: C ox = ε SiO 2 /T ox T ox # S T ox C ox # C ox /S 19 20 Capacitance Resistance Gate Capacitance " C gate = A C ox " Α # Α S 2 " C ox # C ox /S " C gate # S C gate Resistance R=ρL/(W*t) W! S W L, t similar R! R/S 21 22 Threshold Voltage Current V TH # S V TH Saturation Current I d =(µc OX /2)(W/L)(V gs -V TH ) 2 V gs= V! S V V TH! S V TH W! S W L! S L C ox! C ox /S I d! S I d 23 24 4

Current Velocity Saturation Current scaling: V DSAT Lν sat µ n I DS ν sat C OX W V GS V T V DSAT V gs= V! S V 2 V TH! S V TH L! S L V DSAT! S V DSAT W! S W C ox! C ox /S I d! S I d 25 " Gate Delay " τ gd =Q/I=(CV)/I " V! S V " I d! S I d " τ gd! S τ gd Gate Delay 26 Overall Scaling Results, Transistor Speed and Leakage. Preliminary Data HP = High-Performance Logic from 2005 ITRS. LOP = Low Operating Power Logic LSTP = Low Standby Power Logic Intrinsic Transistor Delay, τ = CV/I (lower delay = higher speed) Leakage Current (HP: standby power dissipation issues) ITRS 2009 Transistor Speed LOP LSTP HP LOP HP! Target: 17%/yr, historical rate 17%/yr rate 27 Planar Bulk MOSFETs LSTP! Target: Isd,leak ~ 10 pa/um Advanced MOSFETs RO=Ring Oscillator 28 Wire Delay Impact of Wire and Gate Delay Scaling " Wire delay " τ wire =R C " R! R/S " τ wire! τ wire assuming (logical) wire lengths remain constant... If gate delay scales down but wire delay does not scale, what does that suggest about the relative contribution of gate and wire delays to overall delay as we scale? 29 30 5

Energy Power Dissipation (Dynamic) Switching Energy per operation E=1/2 CV 2 " V! S V " E! S 3 E Capacitive (Dis)charging " P=(1/2)CV 2 f " V! S V Increase Frequency? " τ gd! S τ gd " So: f! f/s? 31 " P! S 3 P " P! S 2 P 32 Effects? Area S 2 Capacitance S Resistance 1/S Threshold (V th ) S Current (I d ) S Gate Delay (τ gd ) S Wire Delay (τ wire ) 1 Energy S 3 Power Density P# S 2 P (increase frequency) P# S 3 P (dynamic, same freq.) A # S 2 A Power Density: P/A two cases? P/A # P/A increase freq. P/A # S P/A same freq. Power S 2!S 3 33 34 Power Density Cheating P/A! P/A very important Says, it doesn t get any harder to cool as we scale exponentially to More gates switching Higher clock rates Don t create an cooling bottleneck Don t like some of the implications High resistance wires Higher capacitance Atomic-scale dimensions. Quantum tunneling Not scale speed fast enough 35 36 6

Improving Resistance R=ρL/(W t) W! S W L, t similar R! R/S " What might we do? " Don t scale t quite as fast! now taller than wide. " Decrease ρ (copper) introduced 1997 http://www.ibm.com/ibm100/us/en/icons/copperchip/ 37 38 3D View Capacitance and Leakage Capacitance per unit area C ox = ε SiO 2 /T ox T ox # S T ox C ox # C ox /S What s wrong with Tox = 1.2nm? Source: https://en.wikipedia.org/wiki/file:silicon_chip_3d.png 39 source: Borkar/Micro 2004 40 Capacitance and Leakage Capacitance per unit area C ox = ε SiO 2 /T ox T ox # S T ox C ox # C ox /S What might we do? Reduce Dielectric Constant ε (interconnect) High-K dielectric Survey and Increase Dielectric to substitute for scaling T ox (gate quantum tunneling) 41 Wong/IBM J. of R&D, V46N2/3P133 168, 2002 42 7

Improving Gate Delay Normal scale " τ gd =Q/I=(CV)/I " V! S V " I d =(µc OX /2)(W/L)(V gs -V TH ) 2 " I d! S I d " τ gd! S τ gd What happens if don t scale V? (note V impacts I) Don t scale V: V!V I!I/S τ gd! S 2 τ gd 43 But Power Dissipation (Dynamic) Capacitive (Dis)charging " P=(1/2)CV 2 f " V! V Increase Frequency? " f! f/s 2? " P! P/S If not scale V, power dissipation not scale down. 44 And Power Density Historical Voltage Scaling P! P/S (increase frequency) But Α! S 2 Α What happens to power density? P/A! (1/S 3 )P/A Power Density Increases this is where some companies have gotten into trouble 45 http://software.intel.com/en-us/articles/gigascale-integration-challenges-and-opportunities/ and, we re running into limits prevent voltage scaling going forward. 46 up Power Density Power Density Watts The Future of Computing Performance: Game Over or Next Level? National Academy Press, 2011 47 http://www.nap.edu/catalog.php?record_id=12980 Has become the new bottleneck Can put more transistors on a chip than we can afford to turn on Can afford to operate at the frequency at which they are capable of switching Energy and Power, not capacity, limits performance 48 8

uproc Clock Frequency MHz The Future of Computing Performance: Game Over or Next Level? National Academy Press, 2011 49 http://www.nap.edu/catalog.php?record_id=12980 50 Doping? Features? Physical Limits 51 Depended on bulk effects Physical Limits doping current (many electrons) mean free path in conductor localized to conductors Eventually single electrons, atoms distances close enough to allow tunneling 52 Dopants/Transistor Leads to Variation 10000 Mean Number of Dopant Atoms 1000 100 10 100 0 500 250 130 65 32 16 Technology Node (nm) 53 [Borkar, IEEE Micro Nov.-Dec. 2005] 54 9

Conventional Scaling Ends in your lifetime Perhaps already has: "Basically, this is the end of scaling. May 2005, Bernard Meyerson, V.P. and chief technologist for IBM's systems and technology group 55 IEEE Spectrum, Sep. 2016 56 Intel says they ve got 10nm covered Feature Size Scaling ITRS Bob Colwell March 2013 57 58 Conventional Scaling Ends in your lifetime Perhaps already: Finishing Up... "Basically, this is the end of scaling. May 2005, Bernard Meyerson, V.P. and chief technologist for IBM's systems and technology group Dennard Scaling ended Feature scaling end at 10nm? Transistor count integration may continue E.g. 3D 59 60 10

Big Ideas [MSB Ideas] Moderately predictable VLSI Scaling unprecedented capacities/capability growth for engineered systems change be prepared to exploit account for in comparing across time but not for much longer 61 Big Ideas [MSB-1 Ideas] Uniform scaling reasonably accurate for past couple of decades Area increase 1/S 2 Real capacity maybe a little less? Gate delay decreases (S) maybe a little less Wire delay not decrease, maybe increase Overall delay decrease less than (S) Lack of V scale! Power density limit 62 Admin Project 4x and area Milestone Due Friday 63 11