Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Similar documents
Introduction to / Status of Directed Self- Assembly

SEMATECH Knowledge Series 2010

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

The SMART Process for Directed Block Co-Polymer Self-Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

Imaging Polymer Morphology Using Atomic Force Microscopy

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Chapter 5. Ionic Polymerization. Anionic.

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Process window analysis for contact hole shrinking: A simulation study

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

2 Current status of the project

Resist material for negative tone development process

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

SUPPLEMENTARY INFORMATION

Supporting Information for

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Supplementary Information. Self-assembly of PS-PNaSS-PS triblock copolymers from solution to solid state

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification

Next: 193nm Lithography

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

Supplementary Materials for

Current Status of Inorganic Nanoparticle Photoresists

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Polymer/drug films as a model system for a drug eluting coronary stent coating layer

Passionately Innovating With Customers To Create A Connected World

Gel Permeation Chromatography

Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries

High intrinsic carrier mobility,

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

Introduction. Photoresist : Type: Structure:

Improving Adhesion: Examining the Electrochemistry of Organic Inhibitors

Various approximations for describing electrons in metals, starting with the simplest: E=0 jellium model = particle in a box

Supporting Information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Effect of PAG Location on Resists for Next Generation Lithographies

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Fabrication of ordered array at a nanoscopic level: context

Design of Optoelectronically-active Polymers for Organic Photovoltaic Applications

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

CHAPTER 8 ACETONE + CARBON DIOXIDE AS TUNABLE MIXTURE SOLVENTS FOR. POLY (ε-caprolactone)

Secondaryionmassspectrometry

Contents. Principles: Theory and Practice

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Supporting Information for

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry

Kim, Sang Ouk

Anomalous phase behavior in blends of -SO 3 H terminated polystyrene with poly(n-butyl acrylate) containing a small amount of tertiary amino groups

Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host

Rational design of a biomimetic glue with tunable strength and ductility

Surface and Interface Characterization of Polymer Films

Hydrogen Bonding in Colloidal Polymer Materials

Utilization of star-shaped polymer architecture in the creation of high-density polymer

Advances in Back-side Via Etching of SiC for GaN Device Applications

Supporting Information

Electronic Supplementary Information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

ESH Benign Processes for he Integration of Quantum Dots (QDs)

Supplementary Information

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

Polymer. Inorganic block copolymer lithography. Adam Nunns, Jessica Gwyther, Ian Manners * Feature article. abstract

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Polymer Blends: State of the Art, New Challenges, and Opportunities

Accessory Publication

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental

Wet Clean Challenges for Various Applications

On-line LC(GPC/SEC)-NMR of Complex Mixtures

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

Fibrillated Cellulose and Block Copolymers as a Modifiers of Unsaturated Polyester Nanocomposites

Supramolecular electrospun nanofibers with high conductivity at. ultra-low carbon nanotube content

Self-Assembly of Two-Dimensional Organic Networks Containing Heavy Metals (Pb, Bi) and Preparation of Spin-Polarized Scanning Tunneling Microscope

Especial Bump Bonding Technique for Silicon Pixel Detectors

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image

Supporting Information

Supporting Information for:

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

Supporting Information

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

The Rôle of the Adhesion Promoter in a Model Water-Borne Primer

Fabrication Methods: Chapter 4. Often two methods are typical. Top Down Bottom up. Begins with atoms or molecules. Begins with bulk materials

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization

synthetic strategies for the generation of polymer monolayers grafting via immobilized monomers

Well-defined polyethylene-based random, block and bilayered molecular cobrushes

Accepted Manuscript. Toroid Formation in Polystyrene-block-Poly(4-vinyl pyridine) Diblock Copolymers: Combined Substrate and Solvent Control

Three Approaches for Nanopatterning

Supporting Information

C- Mats and their Applications

The Intermaterial Dividing Surface (IMDS)

Supplementary Material (ESI) for Chemical Communications This journal is (c) The Royal Society of Chemistry 2009

Small Angle Scattering - Introduction

Transcription:

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano, Joy Cheng and Daniel P. Sanders 2015 DSA Symposium Leuven, Belgium ct. 27 th 2015

Background: Motivation for High-χ BCPs PS-b-PMMA is the most promising candidate as Generation 1 material for DSA 15k-15k PS-b-PMMA 14k-14k PS-b-PMMA Pitch (Lo) ~ 20 nm 500 nm Higher-χ BCPs needed for sub-20 nm pitch resolution 2 Reduce pitch by increasing χ ~ / / = 10.5 Potential benefits of High-χ BCPs Smaller pitch Lower LER Higher etch-selectivity

Materials Development for Sub-20 nm Pitch Patterning New Block Copolymer Synthesis rientation Control Strategy underlayer Si substrate Spin coat BCP solution Thermal anneal underlayer Si substrate R R PLA PTMC R rganocatalytic RP at IBM -Jim Hedrick and team R 1 H N H PMD R 2 H H R 1 N H R 2 R R Si PVL R H Si Si PTMCS H Si H Si Si Si R R R R Si Si Si H PDMS PCL PE PBL H H H Desired Characteristics Perpendicular orientation by short thermal annealing Top-coat free ab compatible processing / solvents Compatible with existing DSA schemes 3 Chem. Rev., 2007, 107 (12), pp 5813 5840

utline Phase-selective, surface active polymer (SAP) as additives for top orientation control of polycarbonate-containing BCPs Design rules for making highly efficient SAP additives SAP molecular weight & PDI DSA compatible SAP additives Generation II high- χ materials towards integration friendly materials Polystyrene-b-Polytrimethylene Carbonate Gen I BCP 1. rganocatalyst + 2. TEA, AcCl PS-b-PTMC 4

Previous Results from Photopolymer 2015 Conference Development of High Purity PS-b-PTMC BCP Mn GPC = 21.5k PDI = 1.02 BCP Mn NMR = 6.6k-7.5k PS-H Macroinitiator Mn = 6.6k, PDI ~ 1.04 Carbonate-based Underlayer Materials Sty = 62 mol%, 0.5 Lo islands Neutral Underlayer Surface-active Additives for Top rientation Control? Carbonate-based Neutral UL Coat BCP + Additive HA-materials as Phase-selective, Surface-active Polymer (SAP) Additives Neutral UL Anneal Surface active 5 Neutral UL Hydrogen bonding w/ Polycarbonates

HA Materials as Surface Active Polymer (SAP) Additives ormulation: Neutral Underlayer ormulation= BCP + 10% PHA-Sty Polycarbonate Containing BCP + P(HA-Sty) BCP+ P(HA-Sty) Neutral UL + solvent 3 C H C 3 Baking Pitch ~ 19 nm 100 nm Neutral UL PS-PTMC 6.2k -7.7k(Vf ~0.5) + 10% P(HA-Sty), Bake @ 140 C/5 min UL = Neutral orientation of lamellae high-χ BCP by simple coat and bake Low annealing temperatures & fab-compatible processing 6 What are the design rules for developing highly-efficient SAP additives?

Effect of Additive Molecular Weight on Self Assembly SAP Additive: Poly(HA-Styrene) BCP: PS-b-PTMC SAP amount = 5 wt% w.r.t BCP BCP Mn = 6.6k-b-7.7k, VfPTMC~ 0.49 BCP Pitch ~ 19 nm 3 C C 3 H Mn ~ 7.0k, PDI 1.08 Mn ~ 12.0k, PDI 1.08 Mn ~ 18.0k, PDI 1.08 400 nm 7 Molecular weight control of additives is important for good self assembly

Effect of Additive PDI on Self Assembly Additive: P(HA-Sty) 5wt% BCP: PS-b-PTMC, Pitch ~ 19nm Underlayer: Neutral, Sty ~ 62% Mw ~ 12.3k, PDI = 2.10 Mw ~ 12.9k, PDI = 1.08 400 nm No significant impact of SAP additive PDI on Self assembly of PS-b-PTMC 8

HA Methacrylate-based SAP Additives Bis-HAcyclohexyl MA ipr-hama HA-Sty H 3 C 3 C 3 C C 3 H 3 C C 3 H 3 C C 3 H 5 wt% additive BCP: PS-b-PTMC 400 nm 9 HA Methacrylate-based additives did not perform as well

DSA Attempt of BCP + P(HA-Sty) Additive Graphoepitaxy DSA R R PS P(HA-Sty) PTMC Neutral PS-b-PTMC + 10% P(HA-Sty) Resist BCP + SAP Secondary Ion Intensity (c/s) 1E+7 1E+6 1E+5 1E+4 1E+3 1E+2 1E+1 SIMS of BCP + SAP ilm BCP + 10% SAP on Neutral UL C Si 1E+0 0 10 20 30 40 Sputtering Time (m) Where is SAP Additive? Resist sidewalls? SAP present at substrate and air interfaces 10 Better additive design needed

Increasing Surface-activity of the Additives P(HA-Styrene) SAP Pentafluorostyrene-r-HA-Sty copolymer random 0.4 0.6 3 C C 3 H 3 C C 3 H PS-b-PTMC + 3% Additive PS-b-PTMC + 3% Additive Self-assembly defects P ~ 19 nm 400 nm 11 Lower SAP loading needed with more surface active materials

DSA of PS-b-PTMC with P(PS-r-HASty) Additive 9.5 nm HP Pitch Lamellae, 170 C / 5 min 100 nm Secondary Ion Intensity (c/s) 1E+7 1E+6 1E+5 1E+4 1E+3 1E+2 1E+1 1E+0 PS-b-PTMC + 5% P(PS-r-HASty) 100nm Si C 0 10 20 30 40 12 Sputtering Time (m) SAP mostly at BCP-air interface Good DSA with improved SAP additive

Mechanism of rientation Control with SAP Additives TBC:PTMC-PS-PTMC Triblock Copolymer, Lo:19 nm, UL:Neutral, SAP: 2 wt% w.r.t. TBC, Annealing:170 C / 5 min PHST: H-bonding only P(PS-r-AcSty): Surface active only P(PS-r-HST): Surface active & H-bonding random 80 mol% PS 80 mol% PS Parallel lamellae Mostly Parallel lamellae Perpendicular lamellae 400 nm Both hydrogen bond donors and surface active groups are needed for perpendicular orientation 13

Etch Attempt for P(TMC-S-TMC) 9.5 nm HP X-section SEM Before Etching BCP After 2 Etch 2 Etch Pitch ~ 19 nm SIS + 2 etch Trimethyl Aluminum + H2 cyclesbcp After 2 Etch 20nm Dry etching of PS-b-PTMC resulted in complete pattern collapse Moderate success with SIS + 2 etch We decided to investigate BCPs with tunable χ parameter 14

DSA Symposium Generation 2015. 10/27/15 II Polycarbonate High-χ BCPs Decreasing BCP χ by Tuning Carbonate Group Generation I BCP: PS-b-PTMC Generation II BCP: PS-b-PMeCAR Mn = 12.5k-16k, PDI = 1.03 BCP + 5% P(HA-Sty) SAP Mn = 12.5k-14.6k, PDI 1.02 BCP + 2% SAP P(HA-Sty) SAP Pitch ~ 27 nm Pitch ~ 19.5 nm 200 nm 200 nm 15 Needs special underlayer synthesis Higher χ parameter Perpendicular orientation on PS-r-PMMA ULs! Lower χ parameter Lower SAP loading needed!

PS-r-PMMA Underlayers are Neutral for Gen II BCPs! BCP: PS-b-PMeCAR, 12.5k-b-14.6k, VfPMeCAR ~ 0.48 SAP: P(HA-Sty) 2 wt% w.r.t. BCP Underlayers: PS-r-PMMA of varying composition BCP Annealing: 170 c / 5 min SMMA, S = 18% SMMA, S = 20 % SMMA, S = 22% SMMA, S = 25% SMMA, S= 30% L o ~ 19.5 nm L o ~ 19.5 nm 19.5 nm 200 nm Integration-friendly: ab compatible underlayers work for Gen II BCPs 16

Gen II BCP: PS-b-PMeCAR + P(HA-Sty) SAP Additive GISAXS for PS-b-PMeCAR + SAP DSA of PS-b-PMeCAR + SAP 100 BCP + 2 wt.% SAP Perpendicular lamellae Intensity 10 1 BCP only, no SAP Parallel lamellae 0.05 q [Å -1 ] GISAXS confirms perpendicular lamellae for 2 nd Gen BCPs + SAP 0.10 Same SAP additive works for multiple polycarbonate platforms 0.15 Graphoepitaxy of PS-b-PMeCAR+ 2 wt.% SAP on neutral underlayer What about pattern transfer of 2 nd Generation polycarbonate BCPs? 17

Dry-etching of 19.5 nm Pitch PS-b-PMeCAR 1. Spin Coat BCP + SAP, Δ 2. 2 Etch 3. C4 / CH3 Etch 4. 2/N2 Etch UL (~4 nm) SiNx (5 nm) α-carbon (20 nm) Silicon Substrate 100 nm Pattern transfer of all-organic high-χ BCP demonstrated 18

Polycarbonate BCPs for DSA Summary Top rientation Control & DSA SAP Additive 2 wt% SAP random 0.4 0.6 PS-b-PMeCAR Pattern Transfer STG2, in S Hardmask = 62 Sub-10 nm Half-pitch 3 C C 3 H Acknowledgements Guanyang Lin, Margareta Paunescu, Durairaj Baskaran, Yi Cao Merck. (PS-r-PMMA ULs and PS-H macroinitiators) Vaughn Deline IBM Almaden (SIMS) Krystelle Lionti IBM Almaden (XRR) Kevin Yager BNL (GISAXS of PS-b-PTMC) 19