E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Similar documents
ETCHING Chapter 10. Mask. Photoresist

Chapter 7 Plasma Basic

Chapter 7. Plasma Basics

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching: Basic Terminology

Reactive Ion Etching (RIE)

Section 3: Etching. Jaeger Chapter 2 Reader

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Device Fabrication: Etch

Regents of the University of California

EE C245 ME C218 Introduction to MEMS Design Fall 2007

LECTURE 5 SUMMARY OF KEY IDEAS

Plasma etching. Bibliography

Etching Capabilities at Harvard CNS. March 2008

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

CHAPTER 6: Etching. Chapter 6 1

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Wet and Dry Etching. Theory

Physique des plasmas radiofréquence Pascal Chabert

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Technology for Micro- and Nanostructures Micro- and Nanotechnology

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Plasma Deposition (Overview) Lecture 1

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Introduction to Plasma

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Chapter 8 Ion Implantation

Plasma Modeling with COMSOL Multiphysics

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

CVD: General considerations.

EE-612: Lecture 22: CMOS Process Steps

DOE WEB SEMINAR,

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

b. The displacement of the mass due to a constant acceleration a is x=

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

EE C245 ME C218 Introduction to MEMS Design

Competitive Advantages of Ontos7 Atmospheric Plasma

Repetition: Practical Aspects

Introduction to Plasma Etching

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Gas utilization in remote plasma cleaning and stripping applications


Lithography and Etching

Plasma atomic layer etching using conventional plasma equipment

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Advances in Back-side Via Etching of SiC for GaN Device Applications

ELECTRON-cyclotron-resonance (ECR) plasma reactors

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

MICROCHIP MANUFACTURING by S. Wolf

Plasma Technology September 15, 2005 A UC Discovery Project

Profile simulation of gas chopping based etching processes

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Chapter VI: Cold plasma generation

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

Lecture 18: Microfluidic MEMS, Applications

Adjustment of electron temperature in ECR microwave plasma

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

EE C245 ME C218 Introduction to MEMS Design Fall 2010

k T m 8 B P m k T M T

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

MEMS-compatible processes for fabricating nanostructures and their applications

Transcription:

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters Bosch Process Cryogenic Process

Top Down Approach

Etching: Some Key Terminology Mask- the word mask is used in etching to mean a protective layer (covering). Ideally a mask material is not etched at all. Etch rate-how fast material is removed (usually in nm/sec) Selectivity-how good an etching process is at attacking one material and leaving another alone Isotropic-etching which attacks a material equally in all directions Anisotropic-etching which attacks a material mainly in one direction

Isotropic / Anisotropic Etching http://home.comcast.net/~dwdm2/mems_micromachining.html

Wet / Vapor Phase Etching

Wet Etching Advantages: Relatively simple, easy, fast, and economic (e.g., batch process) High etch selectivity No physical damages on a substrate Disadvantages: Etch rate is not reproducible Usually Isotropic etching Chemical wastes

J. D. Plummer, M. Deal, and P. D. Griffin, Silicon Copyright VLSI Technology 2014 by Fundamentals, Wook Jun Practices, Nam and Modeling, Prentice Hall, 2000 Wet Etching: Typical Materials / Etching Chemicals

Vapor Phase Etching (XeF 2 ) Selectivity: XeF 2 shows very high selectivity vs silicon to the majority of semiconductor materials (e.g., photoresist, silicon dioxide (>1000:1), silicon nitride (>100:1), and aluminum). Isotropic etching Safety issues when loading/unloading samples. 2XeF 2 + Si SiF 4 + Xe

Vapor Phase Etching (XeF 2 ) No release stiction XeF 2 etching is a dry process so no drying is needed which avoids the sticking issues that often plague wet release processes. Delicate structures are safely released Since XeF 2 etching is a dry, room temperature process delicate structures can be released. This is particularly useful for releasing delicate devices (e.g., micro-mirrors).

DC / RF Plasma

Reactions in Plasma very reactive radicals photon generation: plasma glow very reactive radicals

DC Glow Discharge (Paschen Curve) small pd area large pd area http://commons.wikimedia.org/wiki/file:paschencurve.jpg When a high DC bias is applied between two electrodes in a gas, a breakdown is occurred. Small pd: either too low pressure or too close space between the electrodes electrons move across the space with no or few collisions. Large pd: either too high pressure or too big electrodes space not enough energy transfer by collisions.

J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000 RF Plasma Electrons oscillate between the electrodes wit the AC voltage. No need for electron emission from cathode Can sustain plasma at lower pressure than DC plasma. Can etch dielectrics as well as metals.

RF Plasma (continued) powered electrode (cathode) grounded electrode (anode) V T = V DC + V p The smaller electrode has greater voltage drop. The anode should be bigger than the cathode : the anode is usually connected to the chamber wall to increase the area. The big anode area reduces V p reduce the plasma induced damage on the chamber wall.

Plasma Reactors

J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000 Capacitively Coupled Plasma (CCP) Powered electrode is directly coupled to the plasma. High electric field is formed near the powered electrode. Power transfer efficiency is relatively low, but very uniform plasma generation. Applied Power (e.g., DC, RF (13.56 MHz), VHF (>30MHz)).

High Density (HD) Plasma High etch rate requires high plasma densities (>10 11 /cm 3 ) Higher process pressures higher plasma densities short mean free path less directional Different plasma systems are needed to generate HDP at low pressure Inductively coupled plasma (ICP) Electron cyclotron resonance (ECR)

High Density (HD) Plasma (continued) HD plasma offers; Good etch selectivity High Etch rate Anisotropic etch profile Low plasma induced physical damages Good control in critical dimension (CD)

ICP: Operation Also called as transformer coupled plasma (TCP). Upper part of chamber: ceramic or quartz Source RF inductively couple with plasma (remote plasma) RF source does not directly contact with plasma (no contamination) Source RF generates plasma and controls ion density (~10 12 /cm 3 ) Bias RF controls ion bombardment energy. Ion energy and density independently controlled.

ICP: Typical Tool Configuration J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

ECR: Operation An electron in a static and uniform magnetic field will move in a circle. Applying an alternating electric field will results in a cycloid. The frequency of this cyclotron motion is given by This is called electron cyclotron resonance frequency When the frequency of the electric field set to electron resonance occur. For commonly used microwave frequency, 2.45 GHz, the resonance condition is met B=875.

ECR: Typical Tool Configuration J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

Magnets/ Magnetic Field Long MFP, insufficient ionization collisions In a magnetic field, electron is forced to spin with very small gyro-radius Electrons have to travel longer distance/more collisions Increasing plasma density at low pressure Magnetic field increasing electron density in sheath layer Less charge difference in sheath region Lower DC Bias Effects on ion bombardment increasing ion density reducing ion energy

Wafer Cooling Ion bombardments generate large amount heat. High temperature can cause PR reticulation/low etch selectivity. Need cool wafer to control temperature. Helium backside cooling is commonly used. Helium transfer heat from wafer to water cooled chuck.

Mechanical Chuck (Clamp Chuck) Clamp Ring Seal O- ring Wafer Water-cooled pedestal, cathode, or chuck Helium

Electrostatic Chuck Helium needs to be pressurized Wafer has high pressure at backside because low chamber pressure Need mechanisms to hold wafer Either mechanical clamp or E-chuck Clamp ring causes particles and shadowing effect E-chuck is rapidly replacing clamp ring

Materials / Etching Gases

Materials & Etching Gases J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000 Dry Etching: Processes at the Etched Material Surface

Chemical/ Physical Etching

Anisotropic Etching J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

Anisotropic Etching: Inhibitors H 2 consumes F, and forms HF which does not contributes for Si etching. The low concentration of F reduces the chemical reaction to form SiF 4, and slows down the etch rate. J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000 Anisotropic Etching (continued) Hydrogen consumes F. Too much addition of H 2 will cause too slow etch rate.

Anisotropic Etching (continued) J. D. Plummer, M. Deal, and P. D. Griffin, Silicon VLSI Technology Fundamentals, Practices, and Modeling, Prentice Hall, 2000

Anisotropic Etching (continued) : ICP Si Etching Cr Si CF 4 : 30sccm, SF 6 : 20 sccm 80 sec etch time CF 4 : 35sccm, SF 6 : 15 sccm 80 sec etch time All other etching conditions (e.g., rf power, etch time, process pressure) are the same

Anisotropic Etching (continued) : ICP Si Etching CF 4 : 35sccm, SF 6 : 15 sccm 80 sec etch time CF 4 : 40sccm, SF 6 : 10 sccm 80 sec etch time All other etching conditions (e.g., rf power, etch time, process pressure) are the same

Anisotropic Etching (continued) : ICP Si Etching CF 4 : 45sccm, SF 6 : 5 sccm 80sec etch time CF 4 : 45sccm, SF 6 : 5 sccm 120 sec etch time All other etching conditions (e.g., rf power, process pressure) are the same

Z. Cui, Nanofabrication: Principles, Capabilities, and Limits, Springer (2008) Macro-loading Effect Etch rate is decreased as the overall etch area is increased

Z. Cui, Nanofabrication: Principles, Capabilities, and Limits, Springer (2008) Micro-loading Effect Micro-loading effect is caused by localized pattern density. Micro-loading effect is related with localized depletion of reactive species or accumulation of etch by products.

Z. Cui, Nanofabrication: Principles, Capabilities, and Limits, Springer (2008) Aspect Ratio Effect (Aperture Effect) The aspect ratio effect is strongly dependent on dimensions of pattern. The etch rate for small features is slower than bigger ones. The mechanism for the effect is very complicated, and is related with available reactive species and reaction byproducts.

Aspect Ratio Effect (Aperture Effect) http://cmi.epfl.ch/etch/601e.php

Z. Cui, Nanofabrication: Principles, Capabilities, and Limits, Springer (2008) Micro Trenching Effect Micro-trenching effect is a phenomenon that the etch rate near the trench corner is faster than the center. The effect is caused by the impact of high energy ions at grazing angles (> 80 ) on the side walls then reflected to the bottom of the trench. Both side wall slope angle and the incident angle of the ions can significantly influence the resulting etch profile.

Micro Trenching Effect (continued)

Z. Cui, Nanofabrication: Principles, Capabilities, and Limits, Springer (2008) Notching Effect (DRIE) The addition of etch stop layer is very helpful for removing loading effects. The etch stop layer (e.g., SiO 2 ) can cause a notching effect as the layer is locally charged.

Bosch / Cryogenic Processing

Bosch Process: Deep Reactive Ion Etch (DRIE) The Bosch process is used for high aspect ratio etching by alternating passivation (C4F8 plasma) and etching (SF6 plasma) cycles. http://cmi.epfl.ch/etch/601e.php

http://www.iue.tuwien.ac.at/phd/ertl/node68.html Bosch Process: Deep Reactive Ion Etch (DRIE) The deposition of a passivation layer protects the side walls from chemical etching during the subsequent etching cycle. Directional etching caused by ion bombardment removes the passivation layer at the bottom, so that the radicals are able to attack the substrate.

http://en.wikipedia.org/wiki/deep_reactive-ion_etching Bosch Process: Scalloping Issue Lateral roughness due to the scalloping is about 150nm or more!

Bosch Process: Scalloping Issue (continued) (a) (b) Sidewall roughness can be tuned little bit! : (a) SF6/C4F8 = 7s/2s (b) SF6/C4F8 = 3s/1s. http://cmi.epfl.ch/etch/601e.php

Cryogenic Process In cryogenic-drie, the wafer is chilled to 110 C (163 K). The low temperature slows down the chemical reaction that produces isotropic etching. However, ions continue to bombard upward-facing surfaces and etch them away. This process produces trenches with highly vertical smooth sidewalls.

Cryogenic Process (continued) Very high selectivity over photoresist (to 100:1) and SiO2 masks (to 200:1) Simple and extremely clean plasma chemistry: SF6-O2 plasma (no fluorocarbons) instead of SF6-C4F8 plasma. - almost no chamber cleaning The primary issues with cryo-drie is that the standard masks on substrates crack under the extreme cold, plus etch by-products have a tendency of depositing on the nearest cold surface, i.e. the substrate or electrode.

Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters Bosch Process Cryogenic Process