INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Similar documents
OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT *

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

CONSEQUENCES OF RADIATION TRAPPING ON ELECTRON ENERGY DISTRIBUTIONS IN LOW PRESSURE INDUCTIVELY COUPLED Hg/Ar DISCHARGES*

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1

Plasma atomic layer etching using conventional plasma equipment

A3D Hybrid Model of ahelicon Source +

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

Consequences of asymmetric pumping in low pressure plasma processing reactors: A three-dimensional modeling study

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

A MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS GAS DISCHARGES HAVING COMPLEX GEOMETRIES*

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Reactive Ion Etching (RIE)

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

I. INTRODUCTION J. Vac. Sci. Technol. A 16 4, Jul/Aug /98/16 4 /2454/9/$ American Vacuum Society 2454

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Section 3: Etching. Jaeger Chapter 2 Reader

Trench filling by ionized metal physical vapor deposition

Angular anisotropy of electron energy distributions in inductively coupled plasmas

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

ETCHING Chapter 10. Mask. Photoresist

PLASMA processing (etching, deposition, cleaning) is one

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

DOE WEB SEMINAR,

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

Effect of sputter heating in ionized metal physical vapor deposition reactors

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

65 th GEC, October 22-26, 2012

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Plasma Deposition (Overview) Lecture 1

Chapter 6. Summary and Conclusions

The Plasma Simulation System Brochure.

The plasma simulation system Brochure.

LECTURE 5 SUMMARY OF KEY IDEAS

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Gas utilization in remote plasma cleaning and stripping applications

A time dependent propagator method for long mean free path transport of neutral particles in plasma processing reactors

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

The Plasma Simulation System Brochure.

Model for noncollisional heating in inductively coupled plasma processing sources

Wave propagation and power deposition in magnetically enhanced inductively coupled and helicon plasma sources

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Shapes of agglomerates in plasma etching reactors

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Etching: Basic Terminology

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

The effect of radio frequency plasma processing reactor circuitry on plasma characteristics

CHAPTER 6: Etching. Chapter 6 1

Plasma Technology September 15, 2005 A UC Discovery Project

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

CVD: General considerations.

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Wet and Dry Etching. Theory

Feature-level Compensation & Control

Deuterium and fluorine radical reaction kinetics on photoresist*

Argon metastable densities in radio frequency Ar, Ar/O 2 and Ar/CF 4 electrical discharges

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Chapter 7 Plasma Basic

Plasma Modeling with COMSOL Multiphysics

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Profile simulation of gas chopping based etching processes

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Regents of the University of California

Chapter 7. Plasma Basics

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Plasma etching. Bibliography

Modeling of MEMS Fabrication Processes

Etching Capabilities at Harvard CNS. March 2008

Introduction to Thin Film Processing

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

FRAUNHOFER IISB STRUCTURE SIMULATION

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Transcription:

46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials Science and Engineering **Department of Electrical and Computer Engineering University of Illinois Urbana, IL 6181 email: dazhang@uiuc.edu mjk@uiuc.edu Work supported by SRC and LAM Research Corporation.

AGENDA Introduction Description of the Model - Hybrid Plasma Equipment Model (HPEM) - Surface Kinetics Module (SKM) C 2 F 6 etching of Si - Reactor wall temperature C 2 F 6 etching of SiO 2 - Substrate bias Summary AVS99-1

INTRODUCTION Fluorocarbon (C m F n ) plasmas are widely used for Si/SiO 2 etching due to their favorable kinetic properties and high selectivity. CF x radicals passivate the wafer surface and so influence etching behavior. The passivation thickness depends on wafer materials, plasma-wall interactions, and processing parameters. Our goal: - Develop a surface reaction model - Couple the surface model with the bulk plasma simulator - HPEM - Quantitatively investigate the surface reaction mechanisms - Optimize the processes GEC99-2

HYBRID PLASMA EQUIPMENT MODEL (HPEM) Modular simulator addressing low temperature, low pressure plasmas. EMM calculates electromagnetic fields and magneto-static fields. EETM computes electron impact source functions and transport coefficients. FKM derives the densities, momentum and temperature of plasma species. VPEM shell can be added to HPEM for process control. Electro- Magnetic Module (EMM) E(r,z) B(r,z) Electron Energy Transport Module (EETM) S(r,z), Te(r,z) m(r,z) Fluidchemistry Kinetics Module (FKM) V(r,z) n(r,z) F(r,z) V(r,z) n(r,z) F(r,z) VPEM: Sensors, Controller, Actuators GEC99-3

SURFACE KINETICS MODEL (SKM) The Surface Kinetics Model (SKM) is an integrated module of the HPEM. The SKM - uses reactant fluxes from the HPEM - applies a user defined reaction mechanism - updates surface sticking and product reflection coefficient for the HPEM - calculates surface coverages and etch rates Reaction Mechanism Φ i HPEM (1-S i )Φ i SKM Etch Rate f j Φ j The SKM is a multi-layer surface site balance model at every mesh point along the plasma-surface boundary. GEC99-4

C m F n PLASMA: SURFACE REACTIONS HPEM Surface Reaction Mechanism Incident Species/Fluxes Initial Conditions Surface Composition SKM Reflecting Species/Fluxes Surface Species/Coverage Deposition/Etching Rate AVS99-5

ENERGY DEPENDENCE OF REACTION PROBABILITY All surface reactions involving ion reactants in the SKM allow for ion energy dependence. Ions are accelerated to the surface through the sheath, arriving on the surface with energy of E ion = Q f(r) V sh (r) where Q: Ion charge. f(r): Ratio of ion mean free path to sheath thickness. V sh (r): Sheath voltage drop. Surface reactions have a general energy dependence given by K = K (E ion n - E thn ) / (E ref n - E thn ) where E ion : Incident ion energy. K : Etching yield or reaction probability for ion with energy E ref. E th : Threshold energy for the process. n: Energy dependence (1/2 for this work). GEC99-6

POLYMER LAYER PASSIVATION During Si/SiO 2 etching by fluorocarbon plasmas, a C m F n polymer layer will simultaneously deposit on the surface of the wafer and the reactor wall. The SKM allows the growth of the passivation layer by CF x radical polymerization on the surface. F atoms etching and energetic ion sputtering can consume the layer. The steady state passivation thickness is reached when the film generation and consumption rates are balanced. SKM uses passivation thickness dependent rates for mass and energy transfers through the layer. AVS99-7

C 2 F 6 ETCHING of Si Simulations of C 2 F 6 etching of Si in an ICP reactor were performed. Representative gas phase reactions: e + C 2 F 6 CF 3 + + CF 3 + e + e e + C 2 F 6 CF 3 + CF 3 + e e + CF 3 CF 2 + F + e e + CF 3 CF 2 + F + F - e + CF 4 CF 2 + F + F + e e + CF 4 CF 3 + + F + e + e F + F + M F 2 + M CF 3 + CF 3 + M C 2 F 6 + M CF 2 + F 2 CF 3 + F CF 3 + F 2 CF 4 + F CF 2 + CF 3 C 2 F 5 C 2 F 5 + F CF 3 + CF 3 Height (cm) 1 5 rf bias Electron Density Coils Showerhead (C 2 F 6 ) Wafer Wall 5 1 15 Radius (cm) 1.9E11 3.61E9 (cm -3 ) CF 3 + F CF 4 CF 2 + F CF 3 C 2 F 6, 1 mtorr, 2 sccm, 65 W ICP, 1 V bias AVS99-8

REACTION MECHANISM FOR C 2 F 6 ETCHING OF Si The reaction mechanism was based on the work of G. S. Oehrlein et al *. A C x F y polymer layer is formed on the Si surface in coincidence with Si etching. The steady state passivation layer thickness is a balance of CF x deposition, ion sputtering and F etching of the layer. Si etching precursor (F) needs to diffuse through the passivation layer. CF x F I + (Accelerated) Film Growth CF 4 SiF 4 Film Etch F Diffusion F Si Etch CF x Sputtering Plasma C x F y Passivation Layer Si AVS99-9 * T.E.F.M. Standert, M.Scharkens, N.R.Rueger, P.G.M. Sebel, and G.S. Oehrlein, J. Vac. Sci. Technol. A 16(1), 239 (1998)

RADICAL DENSITIES: BASE CASE Radical densities peak on the axis. The less uniform CF 2 density distribution is due to its higher loss rate at the reactor wall. CF 2 Density F Density 4.39E13 1.67E14 Height (cm) 1 5 Height (cm) 1 5 5 1 15 Radius (cm) 7.31E11 2.79E12 (cm -3 ) (cm -3 ) 5 1 15 Radius (cm) C 2 F 6, 1 mtorr, 2 sccm, 65 W ICP, 1 V bias. AVS99-1

RADIAL DISTRIBUTIONS: FLUXES & ETCH RATE Radial flux distribution of CF 2 is less uniform than that for F as a consequence of the low sticking coefficient for F. Polymer thickness follows the CF 2 flux for this case. Etch rate increases in the radial direction, inversely to the polymer thickness due to F atom diffusion. F, CF 2 Fluxes (cm -2 s -1 ) 6 5 4 3 2 1 CF3 + (1 16 ) CF 2 (1 16 ) F (1 17 ) 1.5 1.25 1..75.5.25. 2 4 6 8 1 Radius (cm) CF 3 + Fluxes (cm -2 s -1 ) Etch Rate (A/min) 8 7 6 5 Polymer Etch Rate 4 2 4 6 8 1 Radius (cm) 6 5 4 3 2 1 Polymer Layers AVS99-11

INFLUENCE OF WALL TEMPERATURE Experiments showed a variation of radical densities vs. T wall.* We simulated the consequence of varying T wall by modifying the sticking coefficient of CF 2 to the wall. With increasing T wall, the CF 2 loss rate is smaller due to the lower sticking coefficient, which produces an increase of CF 2 density in the bulk plasma. The resulting gas chemistry favors consumption of F atoms. So F density drops. Normalized Intensity 1.2 1.1 F 1..9 Experiment*.8.7 CF 2.6 5 1 15 2 Wall Temperature ( o C) Normalized Density 1.2 1.1 F 1..9 Simulation.8.7 CF2.6..2.4.6.8 1. 1-Sticking Coef.(CF 2 ) T wall * M.Scharkens, R.C.M. Bosch, and G.S. Oehrlein, J. Vac. Sci. Technol. A 16(1), 239 (1998) AVS99-12

PASSIVATION LAYER AND ETCH RATE As wall temperature increases, the increased CF 2 density produces larger fluxes to the wafer. This leads to a thicker passivation layer. The diffusion flux of the Si etching precursor (F) through the passivation layer decreases with increasing passivation, so the etch rate drops. Passivation Layers 12 1 8 6 4 2 Φ-CF 2 Passivation T wall..2.4.6.8 1. 1-Sticking Coef.(CF 2 ) 5 4 3 2 1 Φ-CF 2 (1 17 cm -2 -S -1 ) Etch Rate (Å/min) 4 T wall 3 2 1..2.4.6.8 1. 1-Sticking Coef.(CF 2 ) GEC99-13

REACTION MECHANISM FOR C 2 F 6 ETCHING OF SiO 2 The reaction mechanism for SiO 2 etching is based on: Growth of C x F y Passivation layer (balance of deposition and consumption). Formation of complex at the interface between oxide and passivation layer resulting from chemisorption of CF x. Ion activated (through passivation layer) etching of complex. Rate of activation scales inversely with passivation layer thickness. Diffusion of etch precursor and etch product. AVS99-14 Plasma C x F y Passivation Layer SiO 2 CF x CF x Film Growth F F CF 4 Film Etching SiO 2 Ι + CF x CF 4 Sputtering F CO 2 Energy Transfer SiF 4 Diffusion F SiF x Etch CF x Ι+ CO 2 Ι +, F SiO 2 SiF x CO 2 SiF x SiF 4 Etch

SURFACE COVERAGE The wafer surface sites are occupied by several surface species. The surface coverages at steady state depend on the relative rates of - complex formation - Ion activation - F atom etching - Sputtering.5 Fractional Coverage.4.3.2.1 SiF 3 SiO 2 SiF 2 SiF 2 CO 2 C 2 F 6, 1 mtorr 1 sccm 65 W ICP 1 V bias. 2 4 6 8 Radius (cm) AVS99-15

SUBSTRATE BIAS With increasing substrate bias, the passivation layer thickness decreases and the etch rate increases. Simulations and experiments obtained similar trends. Passivation Layers AVS99-16 5 4 3 2 1 C 2 F 6, 1 mtorr Rate Simulation Passivation 5 1 15 2 25 3 Substrate Bias (V) 7 6 5 4 3 2 1 Etch Rate (nm/min) Passivation Thickness (nm) CHF 3, 1 mtorr * Substrate Bias (V) Rate Experiment Passivation *N.R. Rueger, G.S. Oehrlein et al, J. Vac. Sci. Technol. A 15, 1881 (1997) Etch Rate (nm/min)

SUBSTRATE BIAS With increasing bias, the variation in surface coverage is not big when the processes are not limited by the F atom diffusion. The normalized reactive ion etch efficiency increases with increasing substrate bias due to the increase in sheath voltage and decrease in passivation thickness. Fractional Coverage.5.4.3.2 SiF 3 _s SiO 2 _s.1 4 RIE Efficiency 2 5 1 15 2 25 3 Substrate Bias (V) 14 12 1 8 6 Normalized RIE Efficiency Normalized RIE Efficiency V sheath 2 ( 1+.3 [ P _ s]) AVS99-17

SUMMARY The Surface Kinetics Model (SKM) has been coupled with the HPEM to simulate surface interactions and their influences on the bulk plasma. In Si etching, higher loss of CF 2 on reactor walls leads to lower CF 2 density in the gas phase. This produces thinner passivation layers and higher etch rates. Consumption of C x F y at the polymer-sio 2 interface during the SiO 2 etching leads to thinner passivation compared with Si etching. In SiO 2 etching, with increasing substrate bias, the surface coverages change little when the process is not limited by F diffusion. Increasing bias leads to higher sheath voltage and thinner passivation, so higher RIE efficiency. The etch rate increases accordingly. AVS99-18