VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Similar documents
Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

LECTURE 5 SUMMARY OF KEY IDEAS

Competitive Advantages of Ontos7 Atmospheric Plasma

Alternative deposition solution for cost reduction of TSV integration

There's Plenty of Room at the Bottom

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

ALD & ALE Tutorial Speakers and Schedule

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Film Deposition Part 1

Introduction to Photolithography

In today s lecture, we will cover:

A Temporary Bonding and Debonding Technology for TSV Fabrication

Slide 1 Raymond Jin, Adcon Lab, Inc.

EE C245 ME C218 Introduction to MEMS Design Fall 2007

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Lecture 1: Vapour Growth Techniques

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

ETCHING Chapter 10. Mask. Photoresist

Patterning Challenges and Opportunities: Etch and Film

Plasma Deposition (Overview) Lecture 1

Chemical Vapor Deposition (CVD)

Importance of in situ Monitoring in MOCVD Process and Future Prospects

Lecture 0: Introduction

LEADING THE EVOLUTION OF COMPUTE MARK KACHMAREK HPC STRATEGIC PLANNING MANAGER APRIL 17, 2018

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Process Development & Scale-Up of the AIR Technology

Gas utilization in remote plasma cleaning and stripping applications

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Critical Plasma Processing Parameters for Improved Strength of Wire Bonds

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Agenda. 1. Atomic Layer Deposition Technology

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

Thin Wafer Handling Challenges and Emerging Solutions

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Thin Wafer Handling Debonding Mechanisms

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

nmos IC Design Report Module: EEE 112

1

EE C245 ME C218 Introduction to MEMS Design Fall 2007

MICROCHIP MANUFACTURING by S. Wolf

IC Fabrication Technology

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Supplementary materials for: Large scale arrays of single layer graphene resonators

Quantum Technology: Supplying the Picks and Shovels

ISM Evolution. Elscolab. Nederland BV

Microfabrication for MEMS: Part I

Semiconductor Technology

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

ORION NanoFab: An Overview of Applications. White Paper

Taurus-Topography. Topography Modeling for IC Technology

NECi Nitrate Kits FAQs

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract

Déposition séléctive le rêve reviens

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

THE APPLICATION OF PROCESS MASS SPECTROMETRY TO FUMED SILICA PRODUCTION

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.


Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Device Fabrication: Etch

Transistor and Integrated Circuits: History

SUPPLEMENTARY INFORMATION

PLW2835ACC Series. Product Datasheet. Bulb Wall Light Floor Lamp Tube Lighting

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Step Coverage by ALD Films: Theory and Examples

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

Nanoparticles for coatings. Why is reality so much less than the promise? Professor Steven Abbott R&T Director MacDermid Autotype Ltd

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Cost of Ownership Considerations for Maskless Lithography

Atomic layer deposition of titanium nitride

Lecture 18: Microfluidic MEMS, Applications

Real-Time Chemical Sensing for Advanced Process Control in ALD

Nanofabrication Lab Process Development for High-k Dielectrics

CVD: General considerations.

Chemical Vapor Deposition *

PLW2835EKA Series. Product Datasheet. Floor Light

Defense Technical Information Center Compilation Part Notice

EE-612: Lecture 22: CMOS Process Steps

Advances in Back-side Via Etching of SiC for GaN Device Applications

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

PARTICLE MEASUREMENT IN CLEAN ROOM TECHNOLOGY

Explosion Properties of Highly Concentrated Ozone Gas. 1 Iwatani International Corporation, Katsube, Moriyama, Shiga , Japan

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Abstract No. 48. Multi-step oxidation of mineral samples by borate fusion Dirk Töwe, HRT Fusion GmbH

Forecasting Time Frames Using Gann Angles By Jason Sidney

NGSS. Science Items Grade 5 Middle School High School. Table of Contents. Grade Middle School... 5 High School... 10

6.5 Optical-Coating-Deposition Technologies

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Transcription:

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that can produce conformal films on the tops, bottoms, and side-walls of features. New materials are needed as the commercial IC fabrication industry pushes the limits of device miniaturization, while industry consolidation drives the remaining players to use proprietary materials. Even lithography needs more vacuum processing when double-, triple-, and quadruple-patterning schemes need sidewall spacer and sacrificial hard-mask depositions. Materials deposited in these process steps may not remain on the final chip, but they are nonetheless essential in sub-22nm node process flows. All of this leads to a need for an unprecedented number of new chemical precursors for vacuum depositions to be simultaneously ramped into high-volume manufacturing (HVM) in fabs worldwide.

If you re trying to deposit a metal nitride, for example, four different fabs may use four different precursors sets, explained Kate Wilson, global applications director, Edwards Vacuum Ltd. in an exclusive interview with the Show Daily. With conformal processes, such as those used for finfets, there are a broad range of processes needed, continued Wilson. The solutions are diverging. For example, even if you re depositing silicon the number of precursors in use has probably tripled in the last three years. We re getting gas lists from end-users with practically every metal precursor possible, and if we ask which ones will be used we re told that all of them may be in use. Each molecular precursor has unique properties in terms of thermal stability, tendency to polymerize, reactivity with oxygen, toxicity to humans, and tendency to coat different material surfaces. So each molecular precursor calls for different solutions in vacuum processing and effluent abatement. However, the same precursor may be managed slightly differently by different fabs in HVM. Special Vacuum Challenges with ALD Atomic Layer Deposition (ALD) can be considered as a special sub-set of chemical vapor deposition (CVD), where the chemical precursors are alternately pulsed into the vacuum chamber.

The first ALD gas pulse coats device features with a single layer of molecules, then the second precursor pulse reacts with the molecules to leave behind a single layer of atoms. ALD precursors are somewhat magical molecules in terms of their properties. They must completely coat the desired wafer surfaces, yet neither decompose nor polymerize before reaching the wafer. They must remain completely stable until reaching the wafer surface, and then completely react to leave behind just the atoms of choice. One common property of the precursors molecules that include the atoms of choice: to ensure near perfect atomic coverage across 300mm diameter wafers they tend to stick to most other surfaces too. ALD processing is a broad topic, with known variations for oxides, nitrides, metals, and rare-earth elements. There are also variations between thermallyactivated and plasma-enhanced processes, but ALD generally occurs in two different tools types: tube furnaces for batch processing, and single-wafer chambers. Tube furnace have large internal volumes, and the alternating pulses of precursors occur on a time-scale of minutes such that only one of the two precursors flows through the tool at any given time.

However, single-wafer ALD tools have small internal volumes and precursor pulses occurring on a time-scale of mere seconds, such that the best process results derive from continuous flows of both precursors through the tool. Gas control manifolds are setup using high-speed valves to divert flows to either the vacuum chamber or directly to the exhaust stream. For such processes, half the precursors are shunted past the deposition chamber directly to the exhaust, and the vacuum pump and abatement system must handle not just process effluent but high flows of unreacted precursors that tend to stick to any surface. You have to consider dilution levels and side-reactions, cautioned Wilson. Working with the OEM and the end-users as they fine-tune these processes is key to success. For some ALD process there are conflicting challenges in configuring a vacuum solution, such as one using a MOCVD precursors and a chloride precursor. For the MOCVD molecule the general approach would be to lower temperatures to prevent decomposition, while for the chloride molecule it would be best to use high temperatures to prevent condensation. Some molecules polymerize more at higher temperatures, while condensing more at lower temperatures, so a strategic trade-off must be made.

Chlorine-based precursors, for example, are quite challenging to abate without creating toxic by-products, explained Wilson. Most of the things we abate become a powder, so we have to deal with that powder to ensure that we don t clog the system. When ALD and conformal CVD processes are used to form 3D structures in future sub-22nm node ICs, there will be different thicknesses for the final films and different precursors used in leading HVM lines. Consequently, any standardization in vacuum technology seems impossible, and a lot of customization using proven sub-systems will be essential for each end-user. Vacuum and abatement customization Different fabs rely upon different overall vacuum strategies. In some cases there may be a trade-off between capital costs and labor costs. In some situations the solution may require abatement of just perfluorocarbons (PFCs), or may also require the abatement of toxics. From fine-tuning of internal temperatures, to modification of purge cycles, vacuum system suppliers must work closely with OEMs and end-users to ensure that everything has been configured to work reliability in the final system. Edward Vacuum starts by creating a basic reference standard hardware-package that s flexible enough to work in general, and then tailors it to fit each individual situation.

The OEM involvement is becoming more key, confided Wilson. The differentiation of the process is more critical, and we re very involved with the OEM in providing a complete solution. We can t test everything fully at the OEM, but we establish performance benchmarks that carry on to the end-user. Since low cost-of-ownership is always desired, coordination with the OEM allows vacuum technologists to keep hardware and dilutants gas costs constrained by not over-designing the system. If the end-user works on an R&D line or with a tool that has to process multiple IC types, precursors in use may change from lot to lot or from day to day. ALD/CVD vacuum tools and abatement technologies must then be flexible enough to safely and reliably deal with a wide variety of precursors. With new materials in use, not just deposition tools but tools for etch and strip likewise need to be flexible enough to properly handle a wider variety of effluents than ever before. A Temperature Management System (TMS) on the foreline trap can be setup to take feed-forward information from the OEM tool, allowing for automated adjustment to different pre-set modes appropriate for different precursors.

We try not to use traps whenever possible, because it introduces another potential failure point and inevitable maintenance, but occasionally it s unavoidable, elaborated Wilson. Specifications from end-users for reliability and uptime only become more challenging in leading IC fabs. Consequently, Edwards Vacuum claims to have doubled the process flow capability of its vacuum systems, while increasing the mean time between service (MTBS) from six weeks to six months. It takes vacuum systems suppliers and OEMs and end-users working together to optimize the safe and reliable use of ALD and CVD processes needed to form the 3D IC devices of the near future. Fig. 1: Pulsed precursors in a vacuum system.

Fig. 2: Minimizing deposition in the tool. Source: http://electroiq.com/mysemicondaily/2014/07/10/vacuum-technologiesneeded-for-3d-device-processing/