Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Similar documents
Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Digital Integrated Circuits EECS 312

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

Where Does Power Go in CMOS?

Lecture 11: MOSFET Modeling

Power Dissipation. Where Does Power Go in CMOS?

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

EECS130 Integrated Circuit Devices

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

University of Toronto. Final Exam

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

MOS Transistor Theory

Lecture 5: CMOS Transistor Theory

Nanometer Transistors and Their Models. Jan M. Rabaey

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

1 cover it in more detail right away, 2 indicate when it will be covered in detail, or. 3 invite you to office hours.

MOSFET: Introduction

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Semiconductor Memories

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

EE5311- Digital IC Design

MOS Transistor I-V Characteristics and Parasitics

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Lecture 25. Semiconductor Memories. Issues in Memory

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

Nanoscale CMOS Design Issues

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

VLSI Design The MOS Transistor

EECS 312: Digital Integrated Circuits Midterm Exam Solutions 12 March 2009

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

EECS150 - Digital Design Lecture 22 Power Consumption in CMOS. Announcements

ECE321 Electronics I

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Semiconductor Memories

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

CMOS Transistors, Gates, and Wires

Digital Integrated Circuits EECS 312. Review. Dependence of delay on width (R) Lab 3. Intuition. Inverter chain delay optimization

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

THE INVERTER. Inverter

A Leakage Control System for Thermal Stability During Burn-In Test

Lecture 15: Scaling & Economics

Long Channel MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Dynamic operation 20

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

! MOS Capacitances. " Extrinsic. " Intrinsic. ! Lumped Capacitance Model. ! First Order Capacitor Summary. ! Capacitance Implications

Gate Tunneling Current andquantum EffectsinDeep Scaled MOSFETs

Chapter 5 MOSFET Theory for Submicron Technology

GMU, ECE 680 Physical VLSI Design 1

Lecture 29 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 20, 2007

Lecture 4: Technology Scaling

Introduction to Computer Engineering ECE 203

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Announcements. EE141- Spring 2003 Lecture 8. Power Inverter Chain

CSE493/593. Designing for Low Power

Reducing power in using different technologies using FSM architecture

SEMICONDUCTOR MEMORIES

Chapter 8. Low-Power VLSI Design Methodology

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Semiconductor Physics Problems 2015

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Lecture #27. The Short Channel Effect (SCE)

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Simple and accurate modeling of the 3D structural variations in FinFETs

Semiconductor Physics fall 2012 problems

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

The Devices: MOS Transistors

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Flash Memory Cell Compact Modeling Using PSP Model

ASIC FPGA Chip hip Design Pow Po e w r e Di ssipation ssipa Mahdi Shabany

CS 152 Computer Architecture and Engineering

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Transcription:

Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity, synthesis and optimization Real-time systems Scheduling Embedded operating systems Today: Power, temperature, and reliability Next: Wireless distributed sensing applications 2 Definitions modeling Temperature: Average kinetic energy of particle Heat: Transfer of this energy Heat always flows from regions of higher temperature to regions of lower temperature Particles move What happens to a moving particle in a lattice? 6 Acoustic phonons modeling Optic phonons modeling Lattice structure Transverse and longitudinal waves Electron phonon interactions Minimum frequency, regardless of wavelength Only occur in lattices with more than one atom per unit cell Optic phonons out of phase from primitive cell to primitive cell Positive and negative ions swing against each other Low group velocity Interact with electrons Importance in nanoscale structure modeling? Boundary scattering and superlattices 7 8

modeling Why do wires get hot? Why do transistors get hot? Scattering of electrons due to destructive interference with waves in the lattice Scattering of electrons due to destructive interference with waves in the lattice. Where do these waves come from? Where do the electrons come from? What are these waves? What happens to the energy of these electrons? Intrinsic carriers Dopants What happens when wires start very, very cool? What happens as the semiconductor heats up? What is electrical resistance? Carrier concentration increases Carrier mobility decreases Threshold voltage decreases What is thermal resistance? 9 modeling 10 modeling Cooling modeling trends Initial optimization at transistor level Further research-driven gains at this level difficult Research moved to higher levels, e.g., RTL Trade area for performance and performance for power Fan forces air through heatsink 11 Heatsink has high surface area Heatpipes Processor conduct generates heat heat to heatsink Clock frequency gains linear Voltage scaling VDD 2 very important 13 modeling in synchronous CMOS modeling Adiabatic charging P = PSWITCH + PSHORT + PLEAK PSWITCH = C VDD 2 f A b PSHORT = (VDD 2 VT )3 f A t 12 PLEAK = VDD (ISUB + IGATE + IJUNCTION + IGIDL ) C : total switched capacitance Voltage step function implies E = C VCAP 2 /2 Instead, vary voltage to hold current constant: E = C VCAP 2 RC /t Lower energy if T > 2RC VDD : operating voltage f : switching frequency A : switching activity b : MOS transistor gain VT : threshold voltage Impractical when leakage significant t : rise/fall time of inputs PSHORT usually 10% of PSWITCH Smaller as VDD VT 14 15

Wiring power consumption modeling Leakage modeling In the past, transistor power wiring power Process scaling ratio changing Conventional CAD tools neglect wiring power Indicate promising areas of future research S Gate Leakage n+ n+ G Subthreshold Leakage D GIDL Leakage Junction Leakage Punchthrough Leakage B 16 17 Subthreshold leakage current modeling Simplified subthreshold leakage current modeling ( ) W I subthreshold = A s L v T 2 V DS (V GS V th ) v 1 e T nv e T where A s is a technology-dependent constant, V th is the threshold voltage, L and W are the device effective channel length and width, V GS is the gate-to-source voltage, n is the subthreshold swing coefficient for the transistor, V DS is the drain-to-source voltage, and v T is the thermal voltage. A. Chandrakasan, W.J. Bowhill, and F. Fox. Design of High-Performance Microprocessor Circuits. IEEE Press, 2001 V DS v T and v T = kt q. q is the charge of an electron. Therefore, equation can be simplified to ( ) W kt 2 I subthreshold = A s e q(v GS V th ) nkt (1) L q 18 19 Exponential? modeling Piece-wise linear error modeling Normalized leakage value 5.5 5 4.5 4 3.5 3 2.5 2 1.5 C7552 HSPICE C7552 Linear Model C7552 PWL3 SRAM HSPICE SRAM Linear Model SRAM PWL3 Leakage model error (%) 6 5 4 3 2 1 C7552 Worst 2Mx32 SRAM Worst C7552 Avg. 2Mx32 SRAM Avg. 1 0.5 20 40 60 80 100 120 Temperature (C o ) 0 PWL1 PWL2 PWL3 PWL4 PWL5 PWL10 PWL15 Piece wise linear leakage model name 20 21

Gate leakage ( ) nt Toxr V g V aux BTox (a b Vox )(1+c Vox ) I gate = WLA J T ox Tox 2 e Design level power savings Power reduction opportunities modeling Power analysis iteration times where A J, B, a, b, and c are technology-dependent constants, nt is a fitting parameter with a default value of one, V ox is the voltage across gate dielectric, T ox is gate dielectric thickness, T oxr is the reference oxide thickness, V aux is an auxiliary function that approximates the density of tunneling carriers and available states, and V g is the gate voltage. K. M. Cao, W. C. Lee, W. Liu, X. Jin, P. Su, S. K. H. Fung, J. X. An, B. Yu, and System level Behavior level Register transfer level Logic level Transistor level Layout level 10 20X 2 5X 20 50% Increasing power savings seconds minutes minutes hours hours days Decreasing design iteration times C. Hu. BSIM4 gate leakage model including source-drain partition. In IEDM From Anand Raghunathan Technology Dig., pages 815 818, December 2000 23 modeling modeling conclusions Reference Voltage scaling is currently the most promising low-level power-reduction method: V 2 dependence. As V DD reduced, V T must also be reduced. Sub-threshold leakage becomes significant. What happens if P LEAK > P SWITCH? Options to reduce leakage (both expensive): Liquid nitrogen diode leakage Silicon-on-insulator (SOI) I SUB G. Chen, R. Yang, and X. Chen. Nanoscale heat transfer and thermal-electric energy conversion. J. Phys. IV France, 125:499 504, 2005 24 25 modeling Power minimization techniques modeling What can be done to reduce power consumption in embedded systems? Please take/refer to your notes for this portion of the lecture. It is meant to be interactive. Reduce switching activity/clock frequency, glitching Reduce voltage (quadratic) Reduce capacitance Reduce temperature or increase threshold to reduce leakage Power/clock gating System-level power management, prediction 27 28

Embedded operating system assignments I Embedded operating system assignments II Due 6 October: L. Yang, Robert P. Dick, Haris Lekatsas, and Srimat Chakradhar. High-performance operating system controlled on-line memory compression. ACM Trans. Embedded Computing Systems, 9(4):30:1 30:28, March 2010. Due 11 October: Preeti Ranjan Panda, Nikil D. Dutt, and Alexandru Nicolau. On-chip vs. off-chip memory: the data partitioning problem in embeddeed processor-based systems. ACM Trans. Embedded Computing Systems, 5(3):682 704, July 2000. Due 13 October: Mini-project presentation. Due 14 October (emailing the summary is fine): M. Tim Jones. Anatomy of real-time Linux architectures. Technical report, April 2008 (this is fun and light reading). Due 20 October: Mini-project report. Due 25 October: Main project selection. Due date for main project presentation to be announced. Due 13 December: Main project report. 30 31 Example mini-project report format Upcoming topics 1 Introduction and Motivation: Overview of what you are trying to accomplish, and reason why it is important. 2 Past Work and Contributions: Survey of related work. Most reports will find at least five relevant prior publications. Summarize them, and contrast your work with past work. 3 Problem Statement: Give a formal definition for the problem you are trying to solve. 4 Proposed Solution: Explain how you have solved the problem. 5 Experimental Evaluation: Give any experimental, simulation, or analytical parameters studies here. 6 Conclusions: Did you reach your goal? If not, why not? What new knowledge have your efforts yielded? Wireless distributed sensing applications. Human-centered computer design. Energy supply in embedded systems. 32 33