EUV spectroscopy of mass-limited Sn-doped laser microplasmas

Similar documents
Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Laser plasma EUVL sources progress and challenges

Evaluation at the intermediate focus for EUV Light Source

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

EUV lithography and Source Technology

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

The Repeller Field debris mitigation approach for EUV sources

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Diagnostics for laser plasma EUV sources

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Optimization of laser-produced plasma light sources for EUV lithography

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Spectral control of emissions from Sn-doped targets for EUV lithography

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV-Technology with Discharge EUV-Lamp"

X-Rays From Laser Plasmas

UC San Diego EUV Lithography Group Progress Report

Comparison of EUV spectral and ion emission features from laserproduced

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

SUPPLEMENTARY INFORMATION

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Efficient EUV source by use of a micro-target containing tin nanoparticles

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Plasma Spectroscopy in ISTTOK

Course Details. Analytical Techniques Based on Optical Spectroscopy. Course Details. Textbook. SCCH 211: Analytical Chemistry I

Laser and pinching discharge plasmas spectral characteristics in water window region

Important processes in modeling and optimization of EUV lithography sources

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

EUV Reflectivity measurements on Acktar Sample Magic Black

Introduction to FT-IR Spectroscopy

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Progress in LPP EUV Source Development by Japan MEXT Project

25 Instruments for Optical Spectrometry

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

The wavelength reconstruction from toroidal spectrometer image data

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Design and Development of a Smartphone Based Visible Spectrophotometer for Analytical Applications

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Development of a High-Speed VUV Camera System for 2-Dimensional Imaging of Edge Turbulent Structure in the LHD

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Pulsed-power based bright EUV light source for metrology

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

Plasma Radiation. Ø Free electrons Blackbody emission Bremsstrahlung

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept.

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Padraig Dunne, UCD School of Physics Dublin, Ireland.

AS 101: Day Lab #2 Summer Spectroscopy

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Supporting Information

Two-electron systems

Astronomy 203 practice final examination

UV Degradation of Polycarbonate

Supplementary Material for In situ frequency gating and beam splitting of vacuum- and extreme-ultraviolet pulses

DAY LABORATORY EXERCISE: SPECTROSCOPY

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

COST MP0601 Short Wavelength Laboratory Sources

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Chemistry 524--Final Exam--Keiderling Dec. 12, pm SES

Cauchois Johansson x-ray spectrograph for kev energy range

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

High Resolution Optical Spectroscopy

Application of atomic data to quantitative analysis of tungsten spectra on EAST tokamak

Model Answer (Paper code: AR-7112) M. Sc. (Physics) IV Semester Paper I: Laser Physics and Spectroscopy

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Spectroscopic studies of impurities in the LHD plasmas

Preview from Notesale.co.uk Page 1 of 38

Reference literature. (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters )

Abstract... I. Acknowledgements... III. Table of Content... V. List of Tables... VIII. List of Figures... IX

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

PFC/JA Precision Measurements of the Wavelengths of Emission Lines of Mg-like and Na-like Kv in Alcator C Plasmas

Photoelectric Effect Experiment

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission

2001 Spectrometers. Instrument Machinery. Movies from this presentation can be access at

= nm. = nm. = nm

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Development of a table top TW laser accelerator for medical imaging isotope production

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors

EMISSION SPECTROSCOPY

Appendix A Detector Calibration

SUPPLEMENTARY INFORMATION

Fadei Komarov Alexander Kamyshan

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

High Energy X-ray Spectroscopy for Radiography and Atomic Physics Investigations in Laser Produced Plasmas

Utsunomiya University Experiments, September - November 2011

Transcription:

EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, & Martin Richardson Laser Plasma Laboratory College of Optics & Photonics: CREOL &FPCE University of Central Florida Orlando, Florida -32816 a Department of Physics, Qatar University, Qatar b Now at Naval Research Laboratories c SEMATECH, Austin, TX d Lawrence Livermore National Laboratory, Livermore, CA ABSTRACT The 13 nm emission that results from laser plasmas created from tin targets, results from a milliard of transitions occurring in many ions of tin (Sn 6+ Sn 13+ ). Understanding the energy manifolds within these multiple states will further our ability to manipulate energy into the narrow emission band demanded by EUV Lithography. A combined experimental theoretical program is underway to measure and interpret the detailed EUV emission spectra from laser plasmas suitable for EUVL, particularly mass-limited droplet laser plasmas. We employ high resolution spectroscopy in the 2 6 nm wavelength regions to characterize the emission from the plasma. This is interpreted with the aid of combined hydrodynamic/ radiation transport computer models. The results of this study will have impact on the in-band EUV conversion efficiency, estimation of the out-of-band short-wavelength emission, and in the development of electron temperature plasma diagnostics. Key words: EUV, sources, laser-plasmas, Xe sources, tin plasmas 1. INTRODUCTION Manufacturers of the extreme ultraviolet (EUV) Lithography stepper system specify a EUV light source that can produce up to 115 W 1 of efficient power at 13.5 nm, while adhering to all other constraints for the cost effective fabrication of computer chips below the 32 nm mode. Apart from high conversion efficiency (CE), debris and spectral purity at the intermediate focus 1 are the main concerns being addressed by the light source developers. Researchers worldwide have concentrated their efforts toward developing a suitable EUV light source, with the major contenders in the race for a practical light source being laser plasmas and discharge plasmas. Laser produced plasmas are attractive because of their small size, they can be extended to higher frequencies, and the laser itself can easily be integrated into the overall design of the stepper tool 2, 3,4. We have identified tin-doped droplet targets as a suitable laser plasma EUV source, have put-forth the concept of masslimited targets 4,5,6,7, and are further investigating target manipulation in-order to increase the power in-band of tin as well

as other sources. To achieve required collectable power levels, conversion efficiency of the input laser energy to in-band EUV emission needs to be optimized, while keeping the overall costs to a minimum 2,3. Understanding the details of the excitation dynamics and relative ion population are critical to improving and optimizing the source performance. Plasma emission and opacity characteristics can be quantified using spectroscopy as the key diagnostic. Insights gained from the spectroscopic analysis of specific experimental conditions are used with code simulations for gaining deeper understanding of the laser plasma-solid/droplet interactions at play, and vice versa. We use spectroscopy primarily to quantify and characterize the emissions originating from various transitions at different wavelengths, and also scattering from the laser beam. Quantification of energy output at various wavelengths is critical since out of band radiation can have significant impact on photo resist, as well as the collector optics in the stepper system. 2. THEORY AND SIMULATION The choice of source material is critical to the success of EUVL, and at present the competition is between Li, Sn, and Xe. Tin as a source material is advantageous since it is shown to have many transitions arising from Sn 6+ -Sn 13+ (Fig. 1) which contributes to the strong unresolved transition array 8 (UTA) observed in the required 2% bandwidth at 13.5 nm. The optimum temperature for the required tin ion populations is predicted to be about 3 ev 8. Xenon, on the other hand, has a large unresolved transition array centered at 11.6 nm with only one ion species (Xe 1+ ) emitting in the required wavelength. The conversion efficiency measured at 13.5 nm for Xe, thus far, is much lower than both Sn and Li 1. Lithium does have a strong narrow emission at 13.5 nm (Li 2+ -Li 3+ ), and only few transitions (fig. 2) result in the needed wavelength, thus negating spectral purity issues. Lithium is not preferred for the time being since conversion efficiency is low and it is expected that the conversion efficiency will not increase to meet the source power requirements with this target 1. ga (sec -1 ) 3.x1 13 2.5x1 13 Sn +7 Tc-like tin Sn +7 ga(sec -1 ) 3.x1 13 2.5x1 13 Mo-Like tin Sn +8 Sn +8 ga (sec -1 ) 3.x1 13 2.5x1 13 Nb-Like tin Sn +9 Sn +9 2.x1 13 2.x1 13 2.x1 13 1.5x1 13 1.5x1 13 1.5x1 13 1.x1 13 1.x1 13 1.x1 13.5x1 13.5x1 13.5x1 13 8 9 1 11 12 13 14 15 16 17 18 19 2 8 9 1 11 12 13 14 15 16 17 18 19 2 8 9 1 11 12 13 14 15 16 17 18 19 2 Wavelength (Å) Wavelength (Å) Wavelength (Å) ga (sec -1 ) Zr-Like tin ga(sec -1 ) Y--Like tin ga(sec -1 ) Sr-Like tin 3.x1 13 3.x1 13 3.x1 13 2.5x1 13 Sn +1 Sn +1 2.5x1 13 Sn +11 Sn +11 2.5x1 13 Sn +12 Sn +12 2.x1 13 2.x1 13 2.x1 13 1.5x1 13 1.5x1 13 1.5x1 13 1.x1 13.5x1 13 1.x1 13.5x1 13 1.x1 13.5x1 13 8 9 1 11 12 13 14 15 16 17 18 19 2 8 9 1 11 12 13 14 15 16 17 18 19 2 8 9 1 11 12 13 14 15 16 17 18 19 2 Wavelength (Å) Wavelength ( Å ) Wavelength ( Å ) Figure 1: Cowan code calculated transition lines for the Sn ionic species contributing to the UTA at 13.5 nm.

g A (s -1 ) 4.5x1 11 4.x1 11 3.5x1 11 L i II 3.x1 11 2.5x1 11 2.x1 11 L i III 1.5x1 11 1.x1 11.5x1 11 13 131 132 133 134 135 136 137 138 139 14 λ /Å Figure 2: Transition lines arising from Li 2+ and Li 3+ ionic species in the vicinity of 13.5nm To better understand the laser plasma interaction dynamics, we use Med13, a MEDUSA based, 9-cell, 1- dimensional Lagrangian hydrodynamic code, to model the plasma density, velocity, electron and ion temperature as a function of time and space 9, for various experimental parameters. Almost all the physics of the laser-plasma coupling processes, as well as electron and radiation transport can be calculated using this model. The accuracy depends on the mesh size and the convergence criterion 9, and the code self-consistently determines the primary parameters of the plasmas as a function of time and space 3,9. Theoretical calculations and simulations are invaluable tools for gaining deeper insight into the plasma dynamics and atomic physics at play during the irradiation of target materials with high intensity laser light. Models are compared to experimental results, allowing for a better understanding of the results, consequently leading to the optimization of the experimental conditions. Some plasma diagnostics such as interferometry 4 offers direct comparison to the predictions of electron density as a function of time, while data collected using spectroscopy leads us to draw conclusions of the behavior of the plasma temperature and density at given laser intensities. 1.E+24 1.E+22 ns 3% Sn droplet Ne Te 1 8 6 1.E+24 1.E+22 ns Ne Te 5 4 3 1.E+2 1.E+2 4 2 1.E+18 2 1.E+18 1% planar Sn 1 1.E+16 5 1 15 2 25 3 1.E+16 2 4 6 8 1 Figure 3: Med13 code calculations for two different target architectures at the same experimental conditions. There are substantial differences in the predicted temperature and expansion densities. MED13 calculations for the spatial dependence of the electron density and temperature are obtained for targets of different geometry under same physical conditions (fig. 3). The laser intensity used here is 2x1 11 W/cm 2, with 164

nm laser wavelength, and the gaussian pulse duration is 11.5ns. The spherical droplet has a diameter of 35 µm, while the 1% tin planar target thickness is 1 µm. It is immediately obvious the differences in the temperature and the density profile of the two targets. This may explain the large difference in measures conversion efficiency (CE) for the two targets, at approximately the same intensities. For droplets, we have determined experimentally that the CE reaches a maximum at intensities in the region of 1-2x1 11 W/cm 2, while experiments for solid measurements show a high CE at higher intensities. 3. PLASMA PRODUCTION FROM TARGETS High velocity ions 1,11 and particulate debris have rendered solid targets impractical as a EUVL light source. In order for debris control, the concept of limited mass target 4,5,6,7 was developed, where the mass of the target is limited to the number of atoms that are just sufficient to provide radiators for efficient and required conversion. The laser pulse duration and target size should be such that it allows full ionization of the target. At present this concept is applied in the laboratory by using 3% Sn-doped spherical droplet target for laser plasma production. Plasma is produced from water droplets as well as planar 1% solid Sn targets, concurrently, for characterization and comparison. The solid Sn target has thickness of 1 µm, while the diameter of the spherical droplets produced is approximately 35 µm. The Sn-doped droplets are produced by mixing tin in a low Z material, and contain tin atoms of the order 1 13. The chain of droplets is produced using a capillary dispenser 3, through a piezo-electric module driven nozzle at frequencies of 2-2 khz 3. The velocity of the droplet produced is typically in the region of 2 x 1 4 cm/s and the stability is measured to be about 3 µm, at a distance of 1 mm from the nozzle opening. The EUV facility at laser plasma laboratory primarily uses two laser systems to conduct the spectroscopy, metrology and debris experiments. A majority of the EUV radiation studies utilize a custom built, Q-switched Nd:YAG oscillator-amplifier laser system with laser wavelength at 164 nm, operating at 1 Hz, producing 1.6 J per pulse, and with pulse duration of 11.5 ns. The laser beam output has an M 2 of 1.5, which is focused in the far-field to a spot size diameter of 35 µm using a 1 cm lens. Details of the laser layout, the far-field beam profile, the temporal pulse shape, and detailed mapping of the focal region are reported elsewhere 2, 3. The beam from this laser is directed in to a 12-port cylindrical vacuum chamber, and the targets are placed at the center of the chamber. The diameter of the chamber is 45 cm, and experiments are conducted at a pressure below 1-3 Torr, obtained using a 361 liters/s turbo-molecular drag pump backed by a roughing pump. The spectrometers are interchanged with the back-illuminated CCD, and are set-up 9 to the pump laser beam 2,3. The specially designed EUV diagnostic 12 called flying circus (FC) is set-up 3 to the incident laser beam. The second laser accessible to the EUV experiments is the commercially available laser, with a high repetition rate of 1 Hz, 34 mj maximum energy, Q-switched, Nd:YAG laser with λ at 164 nm, and pulse length of 1 ns. This laser primarily used for multipulse debris measurements, although the beam was used for the visible and near-band spectroscopy of the Sn-doped and water droplet spherical targets. Different spectroscopic methods are used to characterize emission in the different bandwidth regions. We utilize a high resolution flat field grating spectrograph in order to study the in-band emission wavelength, a transmission grating spectrometer for near-band region and a digital triple grating spectrograph for investigating UV-Visible-Near IR regions of emission from the plasma. A state of the art, microchannel plate intensified grazing incidence spectrograph is currently being set-up for higher resolution spectral investigations in the range of 5-6 nm. Detailed description of each of the instruments and the results obtained are reported in the next section. The spectra data obtained using each of the instruments is a convolution of the plasma emission with the filter transmission, grating diffraction efficiency, and CCD sensitivity. True intensities of emission can only be determined through the deconvolution of these factors from the recorded data. Calibrated transmission curves for the filters and mirrors used in the experiments were obtained from NIST and detailed description of the deconvolution method and numerical program developed for this purpose can be found elsewhere 3, 4,12. 4. IN-BAND SPECTROCOPY OF LASER PLASMA SOURCES The Flat-Field Spectrometer (FFS) is used to examine in-band emission in the 12 nm-18 nm region, and provides the highest resolution with a 12 lines/mm variable spaced reflective grating. Its spatial dispersion is linear on the image plane, where the surface of an x-ray CCD camera (PI-SX, Roper Scientific) is located to record the dispersed light. Light from the EUV source is collimated onto the grating by an entrance slit whose size determines the spectral resolution of

the spectrometer 4. A unique feature of this FFS is that the distances of slit-to-grating and grating-to-image-plane must be 23.7 cm and 23.5 cm respectively to achieve minimum aberration on the spectra s image 3, 4. Free standing metal filters can be inserted in a holder to select the wavelengths in the region of interest. The FFS is equipped with slits of sizes ranging from 1 µm to 8 µm, which correspond to a range of spectral resolutions from.6 nm to.1 nm. In addition, this FFS has a configuration that allows it to be precisely aligned independently, making it an effective, portable EUV diagnostic. A.5µm thick, calibrated Zr filter selects the wavelength region, and a back-thinned x-ray CCD camera is used to record the spectrum 4. The Zr filter was sent to NIST for calibration of the transmission. Figure 4: Flat-field grazing incidence Spectrograph and schematic Spectral data stored for emission from 1% tin foil target and 3% Sn-doped droplet target, was deconvolved and analyzed. The planar tin data was collected for laser input energy of 8 mj, and intensity is varied from 3.x1 1 W/cm 2-6.x1 11 W/cm 2 by varying the focal spot size using a 1 cm lens. The UTA near 13.5 nm, arising from the milliard 4d-4f transitions of Sn 6+ -Sn 13+ ion stages, increase sharply as we increase intensity (Fig. 5). The overall spectral UTA shape remains the same, while the UTA peak shifts toward the shorter wavelength region with increasing intensity. The highest conversion efficiency obtained to date (~5%), is at intensity near 6 x 1 11 W/cm 2 for the solid tin target. Counts 1.8E+5 1.6E+5 1.4E+5 1.2E+5 1.E+5 8.E+4 W/cm^2 6.33E+11 4.84E+11 2.15E+11 1.21E+11 7.75E+1 5.38E+1 3.95E+1 3.3E+1 6.E+4 4.E+4 2.E+4.E+ 11 12 13 14 15 16 17 wavelength (nm) Figure 5: Pure spectra Data obtained for varying laser intensity. As laser intensity is increased, higher Sn ionization stages populate the UTA, causing the peak of the UTA to move towards 13.5nm region.

Photon Count (A.U.) (a) d c f e b a a: 2.8 x 1 1 W/cm 2 b: 3.4 x 1 1 c: 5.4 x 1 1 d: 7. x 1 1 e: 9.5 x 1 1 f: 1.4 x 1 11 11 12 13 14 15 16 17 Wavelength (nm) Photon Counts (A.U.) h f g i f: g: h: 1.1 x 1 12 11 12 13 14 15 16 17 Wavelength (nm) i: 1.4 x 1 11 W/cm 2 3.8 x 1 11 8.6 x 1 11 Figure 6: Peak of UTA increases in height, migrates to shorter wavelength with intensity (a) until 1.4 x 1 11 W/cm 2 (at spectrum f). Then, UTA peak decreased with increasing intensity (b) for maximum CE. Although CE is high for solid target, debris measurements have lead us to the conclusion that solid tin produces large quantities of particulate debris and fast ions making it unsuitable for EUVL. The limited mass target concept was adapted and spectral investigations revealed an increase in CE with concentration of tin in a droplet target 3. It was decided that the concentration best suited for high conversion and debris management would be at approximately 3% tin-doped droplet. Large amount of spectral data was collected for 3% Sn-doped droplet, at different laser energies and at various intensities. Similar to the solid tin data, we can see the UTA peak shift from a higher wavelength to 13.5 nm as the intensity is increased (fig. 5a). The more interesting phenomenon that is observed here is the rapid decrease of counts in the UTA as the intensity goes higher than the optimum, but there is no shift in the UTA peak (fig 5b). It was found that, for this target, an intensity of 1.4 x 1 11 W/cm 2 corresponds to optimum intensity, where the conversion efficiency is low above and below this intensity. One theoretical explanation that can be offered, is that at higher intensities, the absorption efficiency is decreased due to laser heating and other phenomena and also because the emission is from the higher excited states. (b) Normalized Photon Counts (A.U.) (a) I II f d c b a e III Wavelength (nm) a: 2.8 x 1 1 W/cm 2 b: 3.4 x 1 1 c: 5.4 x 1 1 d: 7. x 1 1 e: 9.5 x 1 1 f: 1.4 x 1 11 12.5 13. 13.5 14. 14.5 15. 15.5 IV Normalized Photon Count (A.U.) (b) Wavelength (nm) f: g: h: 1.1 x 1 12 12.5 13. 13.5 14. 14.5 15. 15.5 i: 1.4 x 1 11 W/cm 2 3.8 x 1 11 8.6 x 1 11 Figure 7: Spectra are normalized with respect to the oxygen peak at 13. nm. (a) As the intensity is reaches the optimum the features inside the UTA change. (b) Above optimum intensity we observe no change in the UTA except a decrease in the count. The wavelength calibration is done using the oxygen peaks in the spectra, so if the data is normalized with respect to the peak of oxygen line at 13. nm then it will be possible to observe the effects of intensity on spectral features in the UTA. Below the optimum intensity, features I, II, III, and IV respond sensitively to intensity (fig 7a). Features I and II are most 1+ likely contribution from ions near Sn. Above optimum intensity the shape of UTA remains the same (fig 7b), which may imply that the relative population (of those emitting to the UTA) remains the same.

5.1 Transmission Grating Spectrograph 5. SPECTROSCOPY IN THE NEAR-BAND REGION Transmission Grating Spectrometer (TGS) is used to survey the broad band emission in the immediate vicinity of the 13 nm UTA, complimentary to the FFS. The radiation source illuminates the grating at near normal incidence through the slit located in the entrance arm of the TGS. The grating diffracts the incoming radiation in an angle, where the angle of d iffraction is dependent on the wavelength and diffraction order. The diffracted radiation is collected on a detector plane located at the end of the observation arm of the TGS, where it is recorded using a CCD camera. Higher order diffraction intensities will depend on the diffraction efficiency of the grating in the higher order, and the filter is placed between the recording medium and the slit. Current set-up of TGS utilizes a free-standing 1, lines/mm Si 3 N 4 grating, giving a resolution about.6nm. The slit size being used is 4 µm, and we have on hand slit sizes ranging from 1µm 2µm. Metal Zr/Al/Sn filters, each of thickness.5 µm, are being used for wavelength selection in the 1 nm-2 nm region. Deconvolved spectra for near band region from nm 28 nm for tin droplet source is shown (Fig. 8), at an intensity of 1x1 11 W/cm 2. High resolution makes individual line identification possible and comparison of transitions to existing code predictions. Figure 8: Photo and schematic of the transmission grating spectrometer set-up 6.E+5 Sn (4d-4f) UTA 5.E+5 4.E+5 3.E+5 2.E+5 1.E+5.E+ 5 1 15 2 25 3 Wavelength (nm) Figure 9: Total spectrum of the Sn-droplet emission at an intensity of 1x1 11 W/cm 2

Figure 1: (a) high resolution data obtained with TGS at various wavelength regions using Zr/Al/Sn filters. As many lines as possible are identified by peak comparison to synthetic spectral predictions 5.2 Microchannel Plate Intensified Grazing-Incidence Spectrograph (MCPIGS) The Micro-channel Plate Intensified Grazing Incidence Spectrograph (MCPIGS-VIII) is a grazing-incidence spectrometer with a gated micro-channel plate detector. The instrument is a Rowland circle geometry spectrometer with a 2 angle of incidence on the 998.8 mm radius grating. The detector used is 84.67 mm long curved micro-channel plate that lies along the Rowland circle, with the length of the film plane at 228.6 mm. After traveling down the MCP channels, electrons exit the back side of the MCP, and are accelerated by a potential, onto a fiber optic face-plate, which also serves as a vacuum barrier. The visible phosphorescence is transported by the fiber optic out of vacuum and onto recording film. The vacuum range required by the MCPIGS is in the 1-6 Torr vacuum range. It has several configurations of strips that are gated off at intervals using electronic switch triggering or silicon Auston switch triggering with a reference laser beam. With different gratings, a range (1nm 6nm) of XUV spectra can be surveyed with this instrument. No data is available yet for this instrument. 6. EMISSION IN THE ULTRAVIOLET, VISIBLE, AND NEAR INFRA-RED REGION A Model 1235 Digital Triple Grating spectrometer by EG&G-Princeton Applied Research was used to record the spectral output through the fiber optic cable, (fig.11). For direct calibration/calculation of the energy, we used a fast photodiode coupled to an oscilloscope to detect and record all radiation collected by the spectrometer. The procedure was repeated after blocking scattered laser radiation, so that we can calculate the energy contribution of the source in the visible region only. The second method utilized a laser diode source of known power and wavelength, to calculate the energy/counts detected by the spectrometer. Detector A series of experiments in the visible and near IR region to quantify the line and Planckian emission, as well as the amount of laser scattering of plasma produced from Figure 11: Optical set-up tin-doped droplet and water droplet target sources have

been completed. The laser energy of 12 mj was used, 1 Hz and 11.5 ns pulse duration. The spectral signatures of the two sources are compared and line identifications have been made. Two methods of calibration of the spectral data are performed using a laser source with known emission wavelength in the region of investigation. 55 H 5 α 45 Tin 4 Di-Water 35 3 25 H β, H γ, H δ, H ε 2 15 1 5 35 4 45 5 55 6 65 7 75 8 85 Counts 65 6 55 5 45 4 35 3 25 2 15 1 5 H α - 2s-3p, 2p-3d OII - 4D-2D*, 2F-2D* terms OI -5S*-5P 6 65 7 75 8 85 9 95 1 nm OI, OII - 4D*-4P OI, OII, OIII Figure 12: Spectra obtained for de-ionized water target and Sn-doped droplet target, under vacuum conditions. The difference in counts of the two spectra is due to the longer exposure time used for the pure water experiment. Feature identification of visible and near-ir region Every single peak observed in the tin spectra is also observed in the pure water droplet target signature (fig. 12). In-fact, the spectral signature for both targets is identical, except for the difference in peak heights owing to the longer exposure time of the water droplets during collection. Code predictions show one or two transitions (very weak) in the 4 nm region for tin and many transitions for Oxygen in the entire visible region. It is concluded that there are no detectable transition lines found from Sn in the wavelength region being investigated. Lower ionization states typically emit more in the visible region, so it seems more plausible to conclude that at 12 mj of laser energy, higher ionization states are populated for Sn atoms, thus they do not have strong emission lines in the long wavelength region. g A (se c -1 ) 2.4x1 9 2.2x1 9 2.x1 9 1.8x1 9 1.6x1 9 1.4x1 9 1.2x1 9 1.x1 9.8x1 9.6x1 9.4x1 9.2x1 9 V isib le lin e s in O x y g en S p e c tra 4 45 5 55 6 65 7 λ (Å ) Figure 13: Cowan calculations for OI, OII, and OIII transitions in the visible region

7. SUMMARY Extensive spectroscopy of the laser plasma targets has enabled us to improve target conversion efficiency and source performance considerably. Calibrated conversion efficiency obtained for the last set of experiment is approximately 5% for planar solid Sn target, and 2% for the 3 percent Sn-doped droplet target. Identifying optimum conditions are important in overcoming challenges currently facing the EUV community in producing a light source that meet all the manufacturing requirements. More detailed theoretical and computational studies are essential in better understanding the plasma dynamics, laser-plasma coupling, and continuum emission physics of these sources. Various other diagnostics for the plasma, as well as higher resolution spectroscopic studies are being planned. ACKNOWLEDGEMENTS The authors are grateful for the technical help provided by Somsak Teerawattanosok, Joshua Duncan, Dr Steve Grantham and Dr. Greg Shimkaveg. The funding for the project is provided by SEMATECH, SRC contract #1227, and the State of Florida. 1 REFERENCES Banine,Vadim. Extreme ultraviolet sources for lithography applications, Presentation at the 3 rd International EUVL symposium, Miyasaki, Japan 2 M.C. Richardson, C-S. Koay et al, J. Vac. Sci. Technol. B 22, (24) 785-79. 3 C-S. Koay, K. Takenoshita, et al Proc. of SPIE, Emerging Lithographic Technologies VIII 5374, (24) 964-97; M.C. Richardson, C-S. Koay, et al, Proc. of SPIE, Emerging Lithographic Technologies VIII 5374, (24) 447-453. 4 Keyser, Christian. PhD Thesis: Optical and spectral characterization of the water droplet laser plasma EUV source, University of Central Florida, 23. 5 F. Jin, K. Gabel et al, Proc. of SPIE, 215, pages 151-159, 1993. 6 F. Jin and M.C. Richardson, Applied Optics 34, (1995) 575-576. M. C. Richardson, and F. Jin, Topical Meeting EUV Lithography, pages 41-43, September 1994. 7 M.C. Richardson, D. Torres, et al, Optics Communications 145, (1998) 19-112. 8 W. Svendsen and G. O Sullivan, Phys. Rev. A 5, (1994) 371-3718. 9 J.P. Christiansen, D. E. T. F. Ashby, and K.V. Roberts, Comp. Phys. Comm. 7, (1974) 271-287. P.A. Rodgers, A.M. Rogoyski, and S. J. Rose, MED11: a laser-plasma simulation code. User guide 1 K. Takenoshita, C-S Koay, et al, Proc. of SPIE, Emerging Lithographic Technologies VIII 5374, (24) 954-963. 11 W. T. Silfvast, M. C. Richardson, et al, J. Vac. Sci. and Tech. B 1, 6, pages 3126-3133, 1992. 12 R. Stuik, F. Scholze, J. Tummler, and F. Bijkerk, Nuclear Inst. Method Phys. Res. A 429, (22) 35-316.