τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

Similar documents
Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

Today. ESE532: System-on-a-Chip Architecture. Why Care? Message. Scaling. Why Care: Custom SoC

Today. ESE534: Computer Organization. Why Care? Why Care. Scaling. ITRS Roadmap

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE535: Electronic Design Automation. Delay PDFs? (2a) Today. Central Problem. Oxide Thickness. Line Edge Roughness

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

THE INVERTER. Inverter

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: CMOS Inverter: Visual VTC. Review: CMOS Inverter: Visual VTC

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

Name: Answers. Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

DC and Transient Responses (i.e. delay) (some comments on power too!)

Nanoscale CMOS Design Issues

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

EE241 - Spring 2003 Advanced Digital Integrated Circuits

V t vs. N A at Various T ox

Lecture 23. CMOS Logic Gates and Digital VLSI I

! MOS Capacitances. " Extrinsic. " Intrinsic. ! Lumped Capacitance Model. ! First Order Capacitor Summary. ! Capacitance Implications

! VLSI Scaling Trends/Disciplines. ! Effects. ! Alternatives (cheating) " Try to predict where industry going

! Energy Optimization. ! Design Space Exploration. " Example. ! P tot P static + P dyn + P sc. ! Steady-State: V in =V dd. " PMOS: subthreshold

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor Theory

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EECS 141: FALL 05 MIDTERM 1

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

CSE493/593. Designing for Low Power

Lecture 15: Scaling & Economics

MODULE III PHYSICAL DESIGN ISSUES

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor Theory

ECE 546 Lecture 10 MOS Transistors

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Lecture 5: CMOS Transistor Theory

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2)

Lecture 29 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 20, 2007

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor I-V Characteristics and Parasitics

University of Toronto. Final Exam

Lecture 4: Technology Scaling

Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS?

EE895KR. Advanced VLSI Design

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMOS Inverter (static view)

Lecture 5: DC & Transient Response

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

3. Basic building blocks. Analog Design for CMOS VLSI Systems Franco Maloberti

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Integrated Circuits & Systems

Lecture 8-1. Low Power Design

Lecture 4: CMOS Transistor Theory

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Restore Output. Pass Transistor Logic. How compare.

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well

EE 434 Lecture 33. Logic Design

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Refinement. Last Time. No Field. Body Contact

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. March 20, 2003

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2001 Advanced Digital Integrated Circuits

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EE 230 Lecture 31. THE MOS TRANSISTOR Model Simplifcations THE Bipolar Junction TRANSISTOR

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

EECS150 - Digital Design Lecture 22 Power Consumption in CMOS. Announcements

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

EEE 421 VLSI Circuits

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

Lecture 11: MOSFET Modeling

Lecture 4: CMOS review & Dynamic Logic

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Transcription:

ESE534 Computer Organization Today Day 8: February 10, 2010 Energy, Power, Reliability Energy Tradeoffs? Voltage limits and leakage? Variations Transients Thermodynamics meets Information Theory (brief, if we get to it) 1 2 At Issue Many now argue power will be the ultimate scaling limit (not lithography, costs, ) Proliferation of portable and handheld devices battery size and life biggest issues Cooling, energy costs may dominate cost of electronics Even server room applications 3 1GHz case Voltage? Preclass 1 Energy per Operation? Power required for 2 processors? 2GHz case Voltage? Energy per Operation? Power required for 1 processor? 4 Energy and Delay Tradeoff τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 5 E V 2 τ gd 1/V We can trade speed for energy E (τ gd ) 2 constant Τ gd =(CV)/I I d,sat (V gs -V TH ) 2 Martin et al. Power-Aware Computing, Kluwer 2001 http://caltechcstr.library.caltech.edu/308/ 6 1

Parallelism We have Area-Time tradeoffs Compensate slowdown with additional parallelism Question How far can this go? What limits us? trade Area for Energy Architectural Option 7 8 Origin of Power Challenge Challenge: Power Limited capacity to remove heat ~100W/cm 2 force air 1-10W/cm 2 ambient Transistors per chip grow at Moore s Law rate = (1/F) 2 Energy/transistor must decrease at this rate to keep constant power density E/tr CV 2 but V scaling more slowly than F 9 10 Energy per Operation ITRS Vdd Scaling: V Scaling more slowly than F C total = # transistors C tr C tr scales (down) as F # transistors scales as F -2 ok if V scales as F 11 12 2

CV 2 scaling from ITRS: More slowly than (1/F) 2 Origin of Power Challenge Transistors per chip grow at Moore s Law rate = (1/F) 2 Energy/tr must decrease at this rate to keep constant E/tr CV 2 f 13 14 Historical Power Scaling Impact Can already place more transistors on a chip than we can afford to turn on. Power potential challenge/limiter for all future chips. [Horowitz et al. / IEDM 2005] 15 16 Impact Power Limits Integration Density Limit How far can we reduce voltage? Constant Power Limit 45nm 32nm 22nm 16nm 11nm 17 Source: Carter/Intel 17 18 3

Limits MOSFET Conduction Ability to turn off the transistor Noise Parameter Variations 19 From: http://en.wikipedia.org/wiki/file:ivsv_mosfet.png 20 Transistor Conduction Saturation Region Three regions Subthreshold (V gs <V TH ) Linear (V gs >V TH ) and (V ds < (V gs -V TH )) Saturation (V gs >V TH ) and (V ds > (V gs -V TH )) Saturation Region (V gs >V TH ) (V ds > (V gs -V TH )) I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 21 22 Linear Region Subthreshold Region (V gs >V TH ) (V ds < (V gs -V TH )) (V gs <V TH ) (( I sub 10 V gs V TH ) / S) I d,lin =(µc OX )(W/L)(V gs -V TH )V ds -(V ds ) 2 /2 23 [Frank, IBM J. R&D v46n2/3p235] 24 4

Operating a Transistor Leakage Concerned about I on and I off I on drive current for charging Determines speed: T gd = CV/I I off leakage current Determines leakage power E leak = V I leak T cycle To avoid leakage want I off very small Switch V from 0 to V dd V gs in off state is 0 V gs <V TH (( I sub 10 V gs V TH ) / S) I off (( ) / S) 10 V TH 25 26 Leakage How maximize I on /I off? I off 10 (( V TH ) / S) S 90mV for single gate S 70mV for double gate 4 orders of magnitude I VT /I off V TH >280mV Leakage limits V TH in turn limits V dd 27 Maximize I on /I off for given V dd? E sw CV 2 Get to pick V TH, V dd I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 I d,lin =(µc OX )(W/L)(V gs -V TH )V ds -(V ds ) 2 /2 (( I sub 10 V gs V TH ) / S) 28 E = E sw + E leak E leak = V I leak T cycle E sw CV 2 Preclass 2 (( I sub 10 V gs V TH ) / S) E leak (V)? T cycle (V)? Preclass 2 I chip-leak = N devices I tr-leak 29 30 5

In Class Values Assign calculations Collect results V T(v) Esw(V) Eleak(V) E(V) 0.36 3.6E-09 1.296E-09 1.296E-11 1.30896E-09 0.27 0.000000027 7.29E-10 7.29E-11 8.019E-10 0.24 5.17064E-08 5.76E-10 1.24095E-10 7.00095E-10 0.21 9.74734E-08 4.41E-10 2.04694E-10 6.45694E-10 0.205 1.08137E-07 4.2025E-10 2.21682E-10 6.41932E-10 0.2 1.19897E-07 4E-10 2.39794E-10 6.39794E-10 0.19 1.4711E-07 3.61E-10 2.79509E-10 6.40509E-10 0.18 0.00000018 3.24E-10 3.24E-10 6.48E-10 0.15 3.23165E-07 2.25E-10 4.84748E-10 7.09748E-10 0.12 5.56991E-07 1.44E-10 6.68389E-10 8.12389E-10 0.09 0.0000009 8.1E-11 8.1E-10 8.91E-10 31 32 Graph for In Class Impact Subthreshold slope prevents us from scaling voltage down arbitrarily. Induces a minimum operating energy. 33 34 Challenge: Variation Statistical Dopant Count and Placement (This section was a little rushed) 35 Penn ESE535 Spring 2009 -- DeHon 36 [Bernstein et al, IBM JRD 2006] 6

V th Variability @ 65nm Variation Fewer dopants, atoms increasing Variation How do we deal with variation? % variation in V TH (From ITRS prediction) Penn ESE535 Spring 2009 -- DeHon 37 38 [Bernstein et al, IBM JRD 2006] 38 Impact of Variation? Higher V TH? Not drive as strongly I d,sat (V gs -V TH ) 2 Variation Margin for expected variation Must assume V TH can be any value in range I on,min =I on ( Vth,max ) I d,sat (V gs -V TH ) 2 Lower V TH? Not turn off as well leaks more I off 10 (( V TH ) / S) 39 Probability Distribution V TH Penn ESE535 Spring 2009 -- DeHon 40 Margining Must raise V dd to accommodate worstcase value increase energy I on,min =I on ( Vth,max ) I d,sat (V gs -V TH ) 2 Variation Increasing variation forces higher voltages On top of our leakage limits Probability Distribution V TH Penn ESE535 Spring 2009 -- DeHon 41 42 42 7

Variations Margins growing due to increasing variation Margined value may be worse than older technology? Probability Distribution Delay New Old End of Energy Scaling? Black nominal Grey with variation 43 [Bol et al., IEEE TR VLSI Sys 17(10):1508 1519] 44 Chips Growing Larger chips sample further out on distribution curve Lecture Ended Here (Didn t really cover material in transient and thermodynamics sections) From: http://en.wikipedia.org/wiki/file:standard_deviation_diagram.svg 45 46 Transient Sources Challenge Transients Effects Thermal noise Timing Ionizing particles α particle 10 5 to 10 6 electrons Calculated gates with 15--30 electrons last time Even if CMOS restores, takes time 47 48 8

Voltage and Error Rate Errors versus Frequency V CC & Temperature F CLK Guardband Conventional Design Max TP Resilient Design Max TP 49 [Austin et al.--ieee Computer, March 2004] [Bowman, ISSCC 2008] 50 Scaling and Error Rates Power and Reliability SEU/bit Norm to 130nm 10 1 Increasing Error Rates 2X bit/latch count increase per generation 180 130 90 65 45 32 Technology (nm) logic cache arrays Intersection is the challenge Push V dd in opposite directions Both reach inflection points From doesn t matter To major concern Source: Carter/Intel 51 51 52 Lower Bound? Thermodynamics Reducing entropy costs energy Single bit gate output Set from previous value to 0 or 1 Reduce state space by factor of 2 Entropy: ΔS= k ln(before/after)=k ln2 Energy=T ΔS=kT ln(2) Naively: setting a bit costs at least kt ln(2) 53 54 9

Numbers (ITRS 2005) kt ln(2) = 2.87 10-21 J (at R.T. K=300) W/L=3 W=21nm=0.021µm Table 41d C 8 10-18 F 10-17 F E op =CV 2 =2.5 10-18 F 55 Recycling Thermodynamics only says we have to dissipate energy if we discard information Can we compute without discarding information? Will that help us? 56 Three Reversible Primitives Universal Primitives These primitives Are universal Are all reversible If keep all the intermediates they produce Discard no information Can run computation in reverse 57 58 Thermodynamics Admin In theory, at least, thermodynamics does not demand that we dissipate any energy (power) in order to compute. Assignment grades, feedback on blackboard for HW1 and HW2 Class Wed. No class next Monday (2/22) 59 60 10

Big Ideas Can trade time for energy area for energy Noise and leakage limit voltage scaling Power major limiter going forward Can put more transistors on a chip than can switch Continued scaling demands Deal with noisier components High variation and high transient upsets Thermodynamically admissible to compute without dissipating energy 61 11