A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

Similar documents
Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array

Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

And device degradation. Slide 1

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

Analog Circuit Verification by Statistical Model Checking

Incremental Latin Hypercube Sampling

High-Performance SRAM Design

ECE 342 Electronic Circuits. 3. MOS Transistors

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

STATE ESTIMATION IN DISTRIBUTION SYSTEMS

EE141-Fall 2011 Digital Integrated Circuits

Determining the Existence of DC Operating Points in Circuits

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model

Microelectronics Reliability

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ECE 497 JS Lecture - 12 Device Technologies

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology

Solving Corrupted Quadratic Equations, Provably

M.S. Project Report. Efficient Failure Rate Prediction for SRAM Cells via Gibbs Sampling. Yamei Feng 12/15/2011

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Low-power adiabatic 9T static random access memory

Uncertainty Quantification in MEMS

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Topic 4. The CMOS Inverter

ECE 546 Lecture 10 MOS Transistors

MOSFET: Introduction

Sensitivity and Reliability Analysis of Nonlinear Frame Structures

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

L03: pn Junctions, Diodes

Reduction of Random Variables in Structural Reliability Analysis

Basics of Uncertainty Analysis

Technology Mapping for Reliability Enhancement in Logic Synthesis

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

Distributed Optimization: Analysis and Synthesis via Circuits

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Reduction of Random Variables in Structural Reliability Analysis

Modeling the Effect of V th -Variations on Static Noise Margin

Worst case analysis of mechanical structures by interval methods

A New Approach for Computation of Timing Jitter in Phase Locked Loops

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

Design of Analog Integrated Circuits

Power Dissipation. Where Does Power Go in CMOS?

Accounting for Non-linear Dependence Using Function Driven Component Analysis

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

A nonlinear filtering tool for analysis of hot-loop test campaings

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor

Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions

Low Leakage L SRAM Design in Deep Submicron Technologies

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

THE INVERTER. Inverter

Construction of a reconfigurable dynamic logic cell

EE141Microelettronica. CMOS Logic

SIPMOS Small-Signal-Transistor

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

A Clustering Technique for Fast Electrothermal Analysis of On-Chip Power Distribution Networks

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

EE241 - Spring 2001 Advanced Digital Integrated Circuits

ECE 342 Solid State Devices & Circuits 4. CMOS

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

GMU, ECE 680 Physical VLSI Design 1

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control

Chapter 3 Numerical Methods

EE115C Digital Electronic Circuits Homework #4

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor

WHEN studying distributed simulations of power systems,

TOSHIBA Field Effect Transistor Silicon N Channel MOS Type (π-mosv) 2SK3538

High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations

ADAPTIVE FEEDBACK LINEARIZING CONTROL OF CHUA S CIRCUIT

Quantifying Near-Threshold CMOS Circuit Robustness

9th International Symposium on Quality Electronic Design

CMOS Inverter. Performance Scaling

COMPARISON OF TWO METHODS TO SOLVE PRESSURES IN SMALL VOLUMES IN REAL-TIME SIMULATION OF A MOBILE DIRECTIONAL CONTROL VALVE

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

QuIDD-Optimised Quantum Algorithms

Safety Envelope for Load Tolerance and Its Application to Fatigue Reliability Design

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

CMOS Technology for Computer Architects

Lecture 16: Circuit Pitfalls

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

ECE 546 Lecture 16 MNA and SPICE

Reachability Analysis: State of the Art for Various System Classes

Chapter 8. Low-Power VLSI Design Methodology

Stochastic optimization - how to improve computational efficiency?

Advanced Technique for Dielectric Analyses

LECTURE 380 TWO-STAGE OPEN-LOOP COMPARATORS - II (READING: AH ) Trip Point of an Inverter

Reliable Condition Assessment of Structures Using Uncertain or Limited Field Modal Data

Transcription:

ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore

Outline SRAM Robustness Optimization Problem Reachability Analysis by Zonotope Safety Distance and Large-signal Sensitivity SRAM Reliability Optimization Experimental Results Summary 31 January 2014 2

SRAM Robustness Optimization Stability verification and robustness optimization become hard for SRAM circuits Process variations, mismatch among transistors cause failures at advanced nodes Static noise margin (SNM): overestimates read failure and underestimates write failure Dynamic stability margin is adopted by deploying critical word-line pulse-width How to verify and optimize? 31 January 2014 3

Previous Work of SRAM Verification V 2 State equilibrium (V dd, V dd ) V th b Failure region Accept region Instate equilibrium 0 V th a Search for points on boundary of failure region in parameter space* 1. (0,0) State equilibrium Separatrix: boundary separating two stable regions in parameter space* 2 V 1 Confined in 2-D space, i.e. only two parameters considered. * 1 W. Dong and et.al. ICCAD, 2008 * 2 G M Huanag and et.al. IEEE Int. BMAS Workshop, 2007 31 January 2014 4

Write Failure Analysis by Safety Distance BL 0 Initial state (v1,v2) = (vdd, 0) Target state (v1, v2) = (0, vdd) M1 v1 M5 1 M3 discharging WL vdd 0 M2 M4 v2 V th4 M6 charging V th6 BR Vdd V2 Safety distance Write Failure Separatrix 0 V1 Vdd Threshold voltage variation causes difficulty to move state point to the target state. A B Safety Distance is the Euclidean distance in the state space between the operating and the safe state region. 31 January 2014 5

Read Failure Analysis by Safety Distance Initial state (v1,v2) = (vdd, 0) Target state (v1, v2) = (Vdd,0) Internal state is aimed to maintain regardless perturbation during read operation. BL 1 WL vdd M1 M2 v1 M5 0 v2 1 M3 M4 M6 charging BR Vdd V2 0 Read Failure A V1 B Safety distance Vdd Mismatch between M4 and M6 Mismatch among M1-4 31 January 2014 6

SRAM Nonlinear Dynamics Nonlinear dynamics of SRAM can be defined as d q x t, t + f x t, t + u t = 0. dt Based on mean-value theorem, f(x) at neighborhood of the nominal point Charges x can be State expressed vector Input by linear approximation with 2 nd order residue as d dt q x, t + f x, t + u t + G x x Assuming q(x,t) can be decomposed d dt q x, t + f x, t) + u (t = 0 d C x + G x + L = 0 dt 31 January 2014 C = q x x=x G = f x x=x + 1 2 (x x ) T 2 f x 2 x=ξ x x = 0. Linearization error Nonlinear dynamics at nominal point Linear dynamics at nominal point 7

SRAM Verification by Reachability Analysis State-variable space Separatrix trajectory Fast verification of SRAM nonlinear dynamics by reachability analysis Variations from multiple sources considered at the same time For example, transconductance of multiple transistors considering variation in their widths can be added as follows g m = g m W W 31 January 2014 8

Reachability Analysis: Unidirectional Zonotope Initial Set Trajectory Final Set Safe region z uni = c + Approximated Reachable Set Zonotope Set of points in n-dimensional polygon with generator g (i) Unidirectional zonotope e i=1 β i g (i), 0 β i 1 (1) g Unidirectional zonotope Zonotope 31 January 2014 9 (2) g c (3) g Safety distance

Reachability Analysis with Uncertain Parameters d dt q x, t + f x, t) + u (t = 0 d C x + G x + L = 0 dt SPICE-like simulator Backward Euler method Backward Euler method with discretized time-step h at k-th iteration by x k (i) = A 1 ( C h x(i) k 1 L k ) Euclidean distance A = C h + G Considering all parameter variations as zonotope, linear Multi-step integration for reachability analysis X k = A 1 ( C h X k 1 L k ) X k = x k (1),, x k (m) Zonotope generator matrix 31 January 2014 10

Reachability Analysis with Uncertain Parameters Parameter variations can be considered by the interval matrix A which is represented by a matrix zonotope. Zonotope matrix represented in terms of interval-valued matrices as A A (0) A i i, A 0 + A (i) in which each matrix zonotope generator A (i) contains the variation range of a parameter. A (i) = A(0) i W = G (i) W The inverse of A width variations is approximated as follows A 1 = A (0) 1,, A (0) 1 A (m) A (0) 1 Inverse of A (0) is computed by LU decomposition i 31 January 2014 11

SRAM Robustness Optimization Problem Optimization of SRAM dynamic stability is modeled as a minimum value problem. min F(w) S. T. W min < w i < W max BL M5 M1 v1 M3 WL vdd M2 M4 v2 M6 BR Safety distance for write operation Pulse width Due to symmetric structure, w R 3 1 F w = D w w, t w + D r w, t r, write and read failures D w w, t w, only write failure D r w, t r, only read failure Safety distance for read operation 31 January 2014 12

Large-signal Sensitivity of Safety Distance p safe Safe region Safety distance q D = p safe c ε (i) g (i) 2, 0 ε (i) 1 D = q i=1 i=1 (p safe c final ) T (i) p safe c final 2 g final Zonotope center Zonotope generator Normal vector Projection on normal vector () i g final c final Large-signal sensitivity S D, w Reachable set Nominal trajectory D w Variation of safety distance 31 January 2014 13

Reliability Optimization with Sensitivity of Safety Distance Based on the calculated sensitivity, optimization is performed Increment of parameter vector in direction of optimization is w k = β k ρ k the gradient of obj. function Suppose gradient is constant in the state-variable space: F w k, t + w k+1 T ρ k = 0 β k+1 = F w k, t ρ k T ρ k Virtually gradient decreases as safety distance becomes smaller. In other words, gradient should be smaller in the next search step. β k+1 = γ F w k,t ρ k T ρ k, 0 < γ <1 Use empirical factor γ to modulate step size. 31 January 2014 14

SRAM Robustness Optimization Flow Set initial state, interval parameters and V th. Set max. number of optimization cycles as max_cycle. k = 0 Run reachability analysis. V th stepping Derive sensitivity of safety distance w.r.t. multiple parameters No Yes Deadlock? Compute increment of parameter vector w. k k k No k = max_cycle? Yes Terminate 31 January 2014 15

Experimental Results WL Implemented in Matlab Platform Core i5 3.2GHz processor 8GB memory Preset parameters simulation Transistor width varies within 100,600 nm Relative standard deviation of V th = 10%. Optimization is performed for the most adverse situations. 31 January 2014 16 BL BL M1 M1 WL vdd 1 M5 0 M2 BR v1 0 v2 1 M3 vdd 1 M5 0 M2 M4 M6 BR v1 1 v2 1 M3 M4 M6 Threshold variations for read operation Threshold variations for write operation

Optimization of Read Failure [200, 300, 300]nm [148, 343, 217]nm 31 January 2014 17

Optimization of Write Failure [381, 440, 497]nm [400, 500, 350]nm 31 January 2014 18

Optimization of Read + Write Failure Optimization result: [W 1, W 3, W 5 ] = 192,330,586 nm Transistor widths : [W 1, W 3, W 5 ] = 200,400,400 nm Read pulse width is 9ns. Write pulse width is 0.024ns. 31 January 2014 19

Yield Before and After Optimization Yield rate 1 N failure N total Write failure Yield rate is improved from 6.8% to 99.957%. Read failure Verify yield rate before and after optimization by Monte Carlo with 1000 samples. Write operation is optimized at the expense of read operation. 31 January 2014 20

Optimization with Small-signal Sensitivity s = x k w = C h + G 1 G w 1 C h + G ( C h x k 1 + u k ) Small-signal sensitivity The new routine fails to find a feasible solution and results in negative width after 3 iterations. The width M5 fails to be tuned (stays at 400nm). 31 January 2014 21

Runtime Comparison Iteration Transistor widths (nm) Sensitivity based RA (s) MC (s) Speedup 1 [185, 371, 451] 9.37 5953.23 635.35x 2 [177, 359, 485] 9.69 5876.12 606.41X 3 [173, 349, 515] 9.53 5901.64 619.27X 4 [171, 340, 545] 9.34 5932.87 635.21x 5 [181, 329, 574] 9.58 5951.07 618.11x 6 [192, 330, 586] 9.51 5911.91 621.65x 31 January 2014 22

Summary Formulated SRAM dynamic stability verification and optimization problem Proposed large-signal sensitivity of safety distance in the state space by reachability analysis Significantly improved SRAM yield rate in presence of parameter variations 31 January 2014 23

Thank You! Please send comments to haoyu@ntu.edu.sg http://www.ntucmosetgp.net