Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Similar documents
Fault Tolerant Computing CS 530 Fault Modeling

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Chapter 2 Fault Modeling

Fault Modeling. Fault Modeling Outline

Lecture 5 Fault Modeling

F to handling the complexities of large digital circuits.

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

VLSI Design I. Defect Mechanisms and Fault Models

Advanced Testing. EE5375 ADD II Prof. MacDonald

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Outline Fault Simulation

FAULT MODELING. Chapter Defects, Errors, and Faults

ECE 3060 VLSI and Advanced Digital Design. Testing

Intro To Digital Logic

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE141Microelettronica. CMOS Logic

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

Model-Based I DDQ Pass/Fail Limit Setting

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

COMP 103. Lecture 16. Dynamic Logic

9/18/2008 GMU, ECE 680 Physical VLSI Design

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

Lecture 5: DC & Transient Response

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Lecture 8-1. Low Power Design

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Digital Integrated Circuits A Design Perspective

THE INVERTER. Inverter

Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011?

Generation of High Quality Non-Robust Tests for Path Delay Faults

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Lecture 22 Chapters 3 Logic Circuits Part 1

Lecture 7 Circuit Delay, Area and Power

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

Lecture 16: Circuit Pitfalls

Lecture 4: DC & Transient Response

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Integrated Circuits & Systems

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

Floating Point Representation and Digital Logic. Lecture 11 CS301

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

COMBINATIONAL LOGIC. Combinational Logic

STATISTICAL FAULT SIMULATION.

E40M. Binary Numbers. M. Horowitz, J. Plummer, R. Howe 1

CS470: Computer Architecture. AMD Quad Core

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

Lecture 6: DC & Transient Response

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

EECS 141 F01 Lecture 17

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014

ELCT201: DIGITAL LOGIC DESIGN

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

Digital Integrated Circuits A Design Perspective

EE115C Digital Electronic Circuits Homework #4

Topic 4. The CMOS Inverter

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EE 230 Lecture 33. Nonlinear Circuits and Nonlinear Devices. Diode BJT MOSFET

EECS 579: Logic and Fault Simulation. Simulation

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2)

Latches. October 13, 2003 Latches 1

School of Computer Science and Electrical Engineering 28/05/01. Digital Circuits. Lecture 14. ENG1030 Electrical Physics and Electronics

The Test Vector Problem and Limitations to Evolving Digital Circuits

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Transistor Sizing for Radiation Hardening

Integrated Circuits & Systems

EE371 - Advanced VLSI Circuit Design

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

Dictionary-Less Defect Diagnosis as Real or Surrogate Single Stuck-At Faults. Chidambaram Alagappan

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

Properties of CMOS Gates Snapshot

Pass-Transistor Logic

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Technology Mapping for Reliability Enhancement in Logic Synthesis

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Standard & Canonical Forms

MM74C00 MM74C02 MM74C04 Quad 2-Input NAND Gate Quad 2-Input NOR Gate Hex Inverter

An addition to the methods of test determination for fault detection in combinational circuits

INTEGRATED CIRCUITS. 74ALS11A Triple 3-Input AND gate. Product specification 1991 Feb 08 IC05 Data Handbook

Hold Time Illustrations

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

2007 Fall: Electronic Circuits 2 CHAPTER 10. Deog-Kyoon Jeong School of Electrical Engineering

Digital Integrated Circuits A Design Perspective

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

Mark Redekopp, All rights reserved. Lecture 1 Slides. Intro Number Systems Logic Functions

Transcription:

CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1

Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects can be described by a well defined faults (as given later in this Lecture Notes). Here we primarily focus on hardware, however there is something analogous in software ( test coverage ). 2

Digital Bugs 3

Fault Modeling Why fault modeling? Stuck-at 0/1 fault model The single fault assumption Bridging and delay faults MOS transistors and CMOS Switch-level fault model Stuck-on/open Shorts and IDDQ 4

Fault Modeling Fault Model: a set of assumed faults in a system such that testing for them will test for most faults of a specific class. Used for test generation, fault simulation and quality evaluation. A fault model hides complexities of actual defects. Infinitely many defects possible. Fault Models are based on past knowledge of defect modes and modeling experience. 5

Common Fault Models No model: test exhaustively Hardware fault models: Gate level: stuck-at 0/1: most common bridging faults delay faults Transistor level: stuck on/open faults bridging faults Functional fault models Software fault models? No formal fault model, but the software test coverage concept is closely related. Exhaustive testing: Applying all possible combinations 6

Failure mechanisms in hardware Temporary: sensitivity to charged particles etc. Permanent Opens: broken connection, also near-opens Shorts: unwanted connection, also near shorts Can be seen in magnified chip photos Others Imperfect devices Analog impairments like excessive delays 7

Stuck-at 0/1 Model Classical model, well developed results/methods Many opens and shorts result in a node getting stuck-at a 0 or 1. May not describe some defects in today s VLSI. still a nice way of structural probing. Covering all stuck-at 0/1 will result in covering a large fraction of all faults. Model: any one or more of these may be stuck at 0 or 1: a gate input, a gate output, a primary input. Justification: many lower level defects can be shown to have an equivalent effect. Common abbreviations: s-a-0, s-a-1 8

What is a test? A test for a specific fault is an input combination which results in different outputs for the normal and faulty circuits. Application of a test will reveal the presence or absence of that fault, by observation of the output. For a combinational circuit, a test is called a test vector or a test pattern. A set of tests is called a test set. 9

x1 x2 x3 a b Stuck-at 0/1 Example AND AND Note that a s-a-0 and X2 s-a-0 have different impact. c Example: Find a test vector for x2 s-a-1: OR Input = (x1,x2,x3) = (0,0,1) Output = z Normal function: Z= x1x2+x2x3 Faulty Function when a fault is present: x2 s-a-1 z = x1+x3 a s-a-1 z = x1+x2x3 b s-a-1 z = x1x2+x3 c s-a-1 z = 1 z s-a-1 z = 1 0 normally 1 if faulty 10

Single Fault Assumption Assumption: only one fault is present at a time. Significantly reduces complexity. Good for fault detection: complete single stuck test set will detect almost all multiple faults. Not good for fault location. A Multiple fault is a simultaneous presence of several single faults. How many multiple faults in a unit? Assume k lines 3 states per line: normal, s-a-0, s-a-1 Total 3 k -1 faulty situations! (For k=1000, total 1.3x10 477 ) One among 3 k situations is a normal unit. 11

Delay Faults Some defects can cause a gate to respond after an excessive propagation delay. As a result some chips will not work at the intended clock frequency, but may work at a lower frequency (i.e. slower speed). Delay faults are quite common and thus all chips must undergo testing for potential delay faults. 12

Bridging (Short) Fault Model Model: Two lines can get shorted (bridged) Common assumption: only nearby lines can be bridged. Impact of a short can depend on the technology and transistor dimensions. Sometimes a 0 dominates over a 1 causing both bridged lines to become 0. Sometimes a 1 may dominate. 13

Transistor Level Faults A digital circuit has two power supply terminals: High (often called VDD) and Low (often called ground). A transistor is a switch that either on (conducts current) or open. Output of a gate is High (1), when the output is connected to High terminal through the transistor assembly. Similarly the output is Low (0) when it gets connected to Low terminals. 14

Transistor-level faults: Impact Shorts or opens in the transistor assembly can cause these behaviors: Output cannot become 1 Output cannot become 0 Output behaves as if one of the inputs was always 1 (or 0), regardless of actual value of the input. If an output gets connected to both High and Low supply terminals at the same time, it causes shorting between them, causing a very high current to flow. Current-based testing is often called IDDQ testing. 15

References Design for Testability in Digital Integrated circuits, Bob Strunz, Colin Flanagan, Tim Hall, http://www.cs.colostate.edu/~cs530/digital_testing.pdf Tutorial: Delay Fault Models and Coverage, Proc 11th Int Conf VLSI Design, Page: 364, 1998, Ananta K. Majhi, Vishwani D. Agrawal http://www.cs.colostate.edu/~cs530dl/pap/majhiagrawal_delay.pdf R. Rajsuman, A.P.Jayasumana, Y.K.Malaiya, On Accuracy of Switch-Level Modeling of Bridging Faults in Complex Gates, 24th Conference on Design Automation, June 1987, pp. 244-250. http://www.cs.colostate.edu/~cs530dl/pap/acc_sw_level.pdf W.K. Al-Assadi, Y.K. Malaiya, A.P. Jayasumana, Faulty behavior of storage elements and its effects on sequential circuits, IEEE Trans VLSI, Dec. 1993, pp, 446-452 http://www.cs.colostate.edu/~cs530dl/pap/storage.pdf Y,K. Malaiya, A.P. Jayasumana, Qiao Tong, S.M. Menon, Enhancement of resolution in supply current based testing for large ICs, VLSI Test Symp., April 1991, pp.291-296. http://www.cs.colostate.edu/~cs530dl/pap/resolution_supply.pdf Y.K. Malaiya and R. Narayanaswamy,"Modeling and Testing for Timing Faulls in Synchronous Sequential Circuits," IEEE Design & Test, pp.62-74,1984 In library 16

Special Interest Slides The rest of the slides in this Lecture Notes are specialized. Skip them, unless you intend to work in hardware testing field. 17

MOS Transistors 18

CMOS NOR Gate 19

Switch-level Fault Model (1) Model: A transistor may be stuck-open Stuck-on PA stuck-open: output effectively s-a-0 NA stuck-open: To sensitize output (A,B)=(1,0) Normal output: 0 Faulty output: high imp: previous value: sequential behavior! Needed test-pair PB stuck-open? T1 (0,0) output= 1 (initialize) T2 (1,0) 0 normal 1 if faulty test 20

Switch-level Fault Model (2): Stuck-ON Assume PA is stuck-on (A,B)=(1,0) normal out=0 faulty out=? Depends on relative resistances (dimensions etc) Low resistance between V DD and Gnd: very high supply current (I DDQ ) 21

Shorts and IDDQ Logical value can not be predicted in general. Very high supply current (I DDQ ) Generally I DDQ based testing is very effective for detecting some defects. 22

AND bridge Impact of Bridging Faults Faulty function with AND bridging: Z1 = (x1x2) + (x1x2) = x1x2 Z2 = (x1x2) + x3 Feedback bridging can cause Oscillations: odd inversions, Sufficient delay Settling at intermediate voltage level 23

Delay Fault Model Excessive path delay or gate delay Signals may get sampled before stabilization Example: OR gate delay increases from 0.2 to 0.8 ns. The fault causes the longest path to take 1.2 ns, causing sampling before signal stabilizes. 24