Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC

Similar documents
PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution

PDN Planning and Capacitor Selection, Part 1

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs


TECHNICAL INFORMATION

Power Distribution Network Design for High-Speed Printed Circuit Boards

Causal Modeling and Extraction of Dielectric Constant and Loss Tangent for Thin Dielectrics

SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE

IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 51, NO. 3, AUGUST

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH

DS0026 Dual High-Speed MOS Driver

How to Analyze the EMC of a Complete Server System?

New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

Education, Xidian University, Xi an, Shaanxi , China

HAL501...HAL506, HAL508 Hall Effect Sensor ICs MICRONAS INTERMETALL MICRONAS. Edition May 5, DS

UPC. 5. Properties and modeling of onchip Power Distribution Networks. Decoupling capacitance

Fully Integrated Dickson Charge Pumps with Optimized Power Efficiency

Lecture 21: Packaging, Power, & Clock

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

IBIS EBD Modeling, Usage and Enhancement An Example of Memory Channel Multi-board Simulation

74HC86. Quad 2 Input Exclusive OR Gate. High Performance Silicon Gate CMOS

IN the past, circuit delay has been due mostly to transistors.

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

PDN Planning and Capacitor Selection, Part 2

SERIALLY PROGRAMMABLE CLOCK SOURCE. Features

Lecture 11: MOS Transistor

PAD MODELING BY USING ARTIFICIAL NEURAL NETWORK

Electrical Characterization of 3D Through-Silicon-Vias

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

High Speed Communication Circuits and Systems Lecture 4 Generalized Reflection Coefficient, Smith Chart, Integrated Passive Components

HOW TO CHOOSE & PLACE DECOUPLING CAPACITORS TO REDUCE THE COST OF THE ELECTRONIC PRODUCTS

Power Distribution System Design Methodology and Capacitor Selection for Modern CMOS Technology

DESIGN of the power distribution system (PDS) is becoming

Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity

EE241 - Spring 2003 Advanced Digital Integrated Circuits

How Resonant Structures Affect Power Distribution Networks and Create Emissions.

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

EFFICIENT STRATEGIES TO OPTIMIZE A POWER DISTRIBUTION NETWORK

Omar M. Ramahi University of Waterloo Waterloo, Ontario, Canada

Lecture 040 Integrated Circuit Technology - II (5/11/03) Page ECE Frequency Synthesizers P.E. Allen

Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates

Distributed SPICE Circuit Model for Ceramic Capacitors

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MM74C912 6-Digit BCD Display Controller/Driver

DC and Transient Responses (i.e. delay) (some comments on power too!)

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

ECE321 Electronics I

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

University of Toronto. Final Exam

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Topics to be Covered. capacitance inductance transmission lines

Integrated Circuit Implementation of a Compact Discrete-Time Chaos Generator

A Novel LUT Using Quaternary Logic

Multi-Objective Module Placement For 3-D System-On-Package

VLSI. Faculty. Srikanth

CD4013BC Dual D-Type Flip-Flop

PAPER State-Dependence of On-Chip Power Distribution Network Capacitance

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

TECHNICAL INFORMATION

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

74LCX16374 Low Voltage 16-Bit D-Type Flip-Flop with 5V Tolerant Inputs and Outputs

Chapter 2. Design and Fabrication of VLSI Devices

74LCX16374 Low Voltage 16-Bit D-Type Flip-Flop with 5V Tolerant Inputs and Outputs

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Five Myths about the PDN

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Automotive Grade Silicon Capacitors for Under the Hood Applications

CD40106BC Hex Schmitt Trigger

MM74C90 MM74C93 4-Bit Decade Counter 4-Bit Binary Counter

Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards

Rg2 Lg2 Rg6 Lg6 Rg7 Lg7. PCB Trace & Plane. Figure 1 Bypass Decoupling Loop

Power Grid Analysis Based on a Macro Circuit Model

Predicting the risk of non-compliance to EMC requirements during the life-cycle

MOSFET: Introduction

POWER DISTRIBUTION SYSTEM Calculating PDS Impedance Douglas Brooks Ultracad Design, Inc

MOS Transistor Theory

Chapter 3 Basics Semiconductor Devices and Processing

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

3D Silicon Capacitors

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic

Signal and Power Integrity Co-Simulation for Multi-layered System on Package Modules

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Lecture 12: MOS Capacitors, transistors. Context

5 V 64K X 16 CMOS SRAM

Short Papers. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity

Electric-Energy Generation Using Variable-Capacitive Resonator for Power-Free LSI: Efficiency Analysis and Fundamental Experiment

Transcription:

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC Subin Kim 1 and Joungho Kim a Department of Electrical Engineering, Korea Advanced Institute of Science and Technology E-mail : subin.kim@kaist.ac.kr 1 Abstract - Dynamic voltage frequency scaling (DVFS) and adaptive voltage frequency scaling (AVFS) are primary methods of conserving power in a microprocessor. By these technologies, a simultaneous switching current (SSC) spectrum drawn by integrated circuits (ICs) varies in frequency domain. However, conventional power distribution network (PDN) is impossible to respond the varying SSC spectrum due to its passive characteristics. In this paper, we propose an oninterposer active PDN to efficiently suppress the simultaneous switching noise (SSN) whose frequency is changing. The oninterposer active PDN is a controllable on-interposer decoupling capacitance scheme with external operation based on monitored SSN voltage. The operation of the proposed oninterposer active PDN is verified through simulations in frequency and time domain. I. INTRODUCTION Recently, the realization of high-speed electrical systems with performance has been a continuous challenge. Two- Dimensional CMOS scaling technology reaches the limits because of the physical and economic problems. For that reason, 2.5-Dimensional (2.5D) integration, based on through silicon via (TSV) and silicon interposer, has gained substantial attention as a promising solution, toward current industrial challenges due to its improved electrical performance and compact design. The TSV technology enables vertical interconnection between vertically integrated circuits (ICs) [1-2]. The silicon interposer technology increases ICs integration density with fine pitch metallization. This interposer is introduced as the new component of power distribution network (PDN) in 2.5D IC [3]. Therefore, it becomes important to design an oninterposer PDN to guarantee the performance of the entire system. Also, some active circuits can be fabricated on the silicon interposer using the silicon process integration. This a. Corresponding author; joungho@ee.kaist.ac.kr Copyright 2016 IDEC All rights reserved. This is an Open-Access article distributed under the terms of the Creative Commo ns Attribution Non-Commercial License (http://creativecommons.org/licenses/by-nc/ 3.0) which permits unrestricted non-commercial use, distribution, and reproduction in any medium, provided the original work is properly cited. Fig. 1. Structure of on-interposer active power distribution network (PDN) in 2.5D/3D-IC means that the conventional silicon interposer composed of passive components has high potentials with fabrication of additional active devices to enhance the electrical performance considering power integrity issues. Lately, switching power consumptions and operating frequency of ICs are rapidly increasing. When active circuits switch simultaneously, a huge amount of current called simultaneous switching noise (SSN) flows through the PDN. SSN with high frequency can drop the supply voltage. This voltage fluctuations caused by the SSN disturb the normal operation of active circuits under the PDN, and degrade the electrical performance such as timing uncertainty. As a result, power integrity has become one of the most critical issues. For the SSN suppression, electromagnetic band-gap (EBG) structure or broad-band PDN design has been proposed [2]. In 2.5D IC, package inductance and on-interposer capacitance form parallel resonance that generates high PDN impedance peak. If the frequency of switching current is within the resonance frequency range of the PDN impedance, the SSN increases [3]. In the case of multi-core processor, controls their core speed and the number of activated core. This means that the frequency of the SSN current can be changed at any time. Therefore, it is highly possible that the fundamental or harmonics of the SSN current can be matched with the resonance frequency of the PDN. A conventional PDN composed of passive components cannot change its impedance according to the varying frequency of the SSN current. For the conventional PDN, the hierarchical PDN design considering all of the

Fig. 2. Structure of on-interposer active power distribution network (PDN) in 3D-IC possible switching current spectrum is necessary. But, it is difficult to design due to the limitations of area and cost. For that reason, a new PDN scheme, which can change its impedance, is required. In this paper, we propose an on-interposer active PDN that has an optimal on-interposer decoupling capacitance control scheme for the SSN suppression in 3D-IC. The proposed on-interposer active PDN is an on-interposer PDN with controllable on-interposer decoupling capacitors (decaps). Each on-interposer decaps has a p-type metaloxide-semiconductor (PMOS) transistor that can be controlled externally by hands using a toggle switch mounted on the printed circuit board (PCB). The oninterposer decoupling capacitance is externally changed to reduce the SSN in 3-D IC based on the monitored SSN voltage of the on-interposer PDN. The proposed oninterposer active PDN is successfully verified through the SSN simulated results in frequency and time domain. It is confirmed that the SSN in 3-D IC is suppressed by changing the proposed, externally controllable on-interposer decoupling capacitance. Fig. 3. (a) Block diagram and (b) simplified model of on-interposer active power distribution network. II. EXPERIMENTS The block diagram of on-interposer active PDN in 3-D IC is shown in Fig. 3. The proposed on-interposer active PDN is composed of conventional on-interposer, and array of oninterposer decaps with PMOS switches. A simplified PDN model of on-chip, on-interposer, and off-chip is shown in Fig. 2. The off-chip PDN model of package contains package inductance and decap model with equivalent series resistor (ESR). Also, the on-interposer PDN is modeled by on-interposer decap with PMOS switches. The simulated self-impedance of an example PDN with the switched on-interposer capacitors is observed at oninterposer PDN. There is a parallel resonance caused by both the off-chip inductance and the on-interposer capacitance of on-interposer decaps. Although the package or board is well designed to have tits inductance low enough, the parallel resonance between Fig. 4. Structure of on-interposer active power distribution network (PDN) in 3D-IC. the off-chip inductance and the on-interposer capacitance cannot be avoided. Because of the resonance, the impedance of PDN is high at the resonance frequency. And if the switching frequency of the active circuits is close to the resonance frequency, enormous SSN is generated. As on-

IDEC Journal of Integrated Circuits and Systems, VOL 02, No.3, Oct. 2016 TABLE I. Physical Dimensions of the On-interposer Power Distribution Network Parameters Fig. 5. 3-Dimensional view of on-interposer power distribution network. Fig. 6. Cross-section view and dimension parameters of unit cell of oninterposer power distribution network. interposer decoupling capacitance changes, the resonance frequency is shifted. Using this scheme, it is possible to make the self-impedance at switching frequency lower by shifting the resonance frequency far away from switching frequency. To change the on-interposer decoupling capacitance, the PMOS switches are connected to each on-interposer decap. For the on-interposer decaps, both n-type metal-oxidesemiconductor (NMOS) capacitors and poly-insulator-poly (PIP) capacitors are used. These on-interposer decaps are switched by external control. As real-time monitoring the simulated result of peak-to-peak SSN voltage changes the bias voltage of PMOS switch array to switching the oninterposer decaps. A. Structures and Dimensions of On-interposer Power Distribution Network The structure of an on-interposer PDN is shown in Fig. 5. The conventional on-interposer PDN is meshed type and its detailed dimension is in Table. I. To analyze the conventional PDN in frequency-domain, the RLGC modeling of the conventional PDN which showed highly correlated with 3D EM full simulator of ANSYS is completed. Also, on the PDN of interposer had pads that SSN on the PDN can be measured by directly probing on the PDN. B. On-interposer Decoupling Capacitors To control the on-interposer decoupling capacitance, we used NMOS capacitors and PIP capacitors. By the design rule of HYNIX 0.35um, design of a 1pF of cell-based decoupling capacitor array is used for the controllable oninterposer decoupling capacitors. The range of the controllable on-interposer decoupling capacitor is 1pF to 9pF using a conventional on-interposer decap and 8 controllable on-interposer decaps. Symbol Value Height of top insulator layer Htop 1.2um Height of middle insulator layer Himd 0.85um Height of bottom insulator layer Hild 4.08um Height of M1 Metal HM1 0.7um Height of M2 Metal HM2 0.7um Height of substrate Hsub 50um Width of M1 metal WM1 10um Width of M2 metal WM2 10um Space between power line and ground line on M1 and M2 metals S 90um Length of on-interposer power distribution network unit cell Lunit 200um Fig. 7. Photograph of test vehicle for on-interposer active PDN scheme. Fig. 8. Structure of on-interposer active PDN implemented in a 0.35um HYNIX CMOS process.

C. PMOS Switch To control the on-interposer decoupling capacitance, PMOS switches are used. The PMOS switches are connected between the PDN and on-interposer decaps. The gate voltage of the PMOS switches is biased by external input signals. As a switch, n-type MOS switch can be used, but it has threshold voltage drop between VDD of the PDN and the on-interposer decaps. To connect the on-interposer decaps to the PDN without no voltage drop, we used PMOS switches. D. Switching Inverters Design for Simultaneous Switching Noise Generation To show the feasibility of the on-interposer active PDN, an inverter array was designed as a noise generator on the PDN. By external input signaling to the inverter array, it generated SSN on the PDN with characteristics of the input signals such as clock, input output (I/O) signal, or rush current by power gating. And we analyzed the noise immunity of the on-interposer active PDN under the switching frequency variance of the inverter array. Fig. 9. Self-impedance of the test interposer obtained from the measurement, 3D EM simulation and RLGC model. The PDN impedance of the RLGC model is well-matched with the PDN impedance of measurement and 3D EM simulation. E. Design and Implementation The test vehicle and assembled interposer on board of the proposed on-interposer active PDN are shown in Fig. 7 and Fig. 8. The assembled interposer on board is to supply the power on the PDN and to mount the on-board switches for biasing the on-interposer PMOS switches. 1) The switching frequency current per I/O pin = 10 ma 2) Operating frequency = 1.6 Gbps 3) Driver size of PMOS/NMOS = 20 um / 10 um Total number of simultaneously switching inverters = 8 Fig. 10. Measured self-impedance of the test interposer with respect to the variation in the number of switched-on decaps on the test interposer. III. RESULTS AND DISCUSSION In this chapter, RLGC model of the proposed scheme fabricated on the test interposer is compared with the PDN impedance result of measurement and 3D EM simulation. Using this RLGC model, the operation of the proposed oninterposer active PDN is verified with series of frequency and time domain simulations. A. Verification of RLGC model of the proposed oninterposer active PDN The self-impedance of the PDN is the most important parameter that shows the characteristic of the PDN. The self-impedance of the design of the proposed on-interposer active PDN obtained from the measurement, 3D EM simulation and RLGC model is showed in Fig. 9. From the results, the result of the RLGC model shows a good correlation with the result of measurement and 3D EM simulation. B. Verification of the proposed on-interposer active PDN using simulations The proposed on-interposer active power distribution network (PDN) scheme with switched on-interposer decoupling capacitors for an efficient SSN suppression was Fig. 11. ADS simulation setup of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise in 2.5D/3D- IC. Fig. 12. Measured self-impedance of test vehicle of the proposed oninterposer active PDN as switching condition of on-interposer decap array.

Fig. 13. Pk-to-pk SSN voltage variation according to on-interposer decoupling capacitance. The optimum SSN point is shown when the on-interposer decoupling capacitance is 200pF. Fig. 14. Simultaneous switching noise voltage waveform generated by onchip inverter array according to on-interposer decoupling capacitance. Peak to peak (Pk-to-pk) SSN voltage which is fluctuation of supply voltage on switching circuits was simulated and measured by varying the on-interposer decoupling capacitance from 100pF to 500pF. The frequency of input clock of switching circuits was set to 400MHz, and the rising and falling time of input clock was set to 10% of its period. As shown in Fig. 13, The Pk-to-pk SSN voltage changed with the variation of on-interposer decoupling capacitance. The worst Pk-to-pk SSN voltage is occurred at 100pF, and the optimum value of the on-interposer decoupling capacitance is 200pF where shows the least Pkto-pk SSN voltage. For 400MHz of clock frequency, the oninterposer decoupling capacitance should have been 200pF to supply stable VDD. Fig. 14 shows the supply voltage waveforms of VDD with the worst case and optimum case of the on-interposer decoupling capacitance. When the on-interposer decoupling capacitance was 100pF, the Pk-to-pk SSN voltage was 280mV which is 15.5% of VDD. For the 200pF of oninterposer decoupling capacitance, the SSN voltage waveform was optimized so that the Pk-to-pk SSN voltage was under 10% of VDD. This result can be analyzed in Fig 15. Both of self-impedance of PDN and the switching current spectrum are depicted in Fig. 15 together. The current spectrum at the resonance peak of each PDN curve has the largest value when the C decap is 100pF, and the smallest value when the C decap is 200pF, respectively. This means that the resonance peak of PDN impedance curve should avoid the large current spectrum to suppress the SSN. Using this on-interposer active PDN, an optimum oninterposer decoupling capacitance for each clock frequency can be achieved. It can make the PDN be able to respond actively to varying clock frequency. With a limited budget of decap, a conventional PDN has limitations to suppress a broadband SSN. But the active PDN can suppress the broadband SSN in 2.5D/3D IC efficiently compared to the conventional PDN. IV. CONCLUSIONS Fig. 15. Power distribution network impedance of designed 3D-IC and current spectrum of input signal of inverter array. simulated and analyzed. We simulated the PDN impedance and simultaneous switching noise (SSN) voltage waveform right on the switching circuits. When the on-interposer decoupling capacitance varied, the PDN impedance curve also changed as shown in Fig. 12. The frequency at L off_chip C on-interposer resonance shifted as increased oninterposer decoupling capacitance (C decap ). In this paper, an on-interposer active PDN was proposed for an efficient SSN suppression. The proposed oninterposer active PDN was successfully verified through the simulated results in frequency and time domain. The proposed on-interposer active PDN operated adaptively based on frequency-domain analysis of switching current and PDN. It is confirmed that the on-interposer resonance, caused by the off-chip inductance and the on-interposer decoupling capacitance, is shifted by changing the proposed externally controllable on-interposer decoupling capacitance. We have demonstrated that the on-interposer decoupling capacitance for the optimum SSN Pk-to-pk voltage is successfully obtained by using the proposed oninterposer active PDN. In the future, SSN sensing circuit and on-interposer decoupling capacitor controller will be implemented to operate the on-interposer active PDN adaptively and automatically.

ACKNOWLEDGMENT This paper was supported by the IDEC. REFERENCES [1] J. Pak, C. Ryu, and J. Kim, Electrical characterization of through silicon via (TSV) depending on structural and material parameters based on 3D full wave simulation, in Proc. IEEE Electromagn.Electron.Mater.Packag., Nov.2007, pp. 1-6. [2] P. G. Emma, and E. Kursun, Is 3D Chip Technology the Next Growth Engine for Performance Improvement, IBM J. Res. Develop., vol. 52,no. 6, pp. 541 552, Nov. 2008. [3] K. Kim, J. Yook, J. Kim, H. Kim, J. Lee, K. Park, and J. Kim, Interposer Power Distribution Network (PDN) Modeling Using a Segmentation Method for 3-D ICs with TSVs, IEEE Trans. Compon.,Packag. Manuf., vol. 3, no. 11, pp. 1891 1906, Nov. 2013. [4] High Bandwidth Memory (HBM) Dram. JEDEC Standard JESD235A, 2016. [5] J. Shim, M. Shin, H. Kim, Y. Kim, K. Park, J. Cho, and J. Kim, An Adaptive On-chip ESR Controller Scheme in Power Distribution Network for Simultaneous Switching Noise Reduction, IEEE Trans. Electrical Performance of Electronic Packaging., Oct, 2008. [6] Y. Kim, J.Cho, J. J. Kim, K. Kim, S. Kim, S. Sitaraman, V. Sundaram, P. M. Raj, R. Tummala and J. Kim, Measurement and Analysis of Glass Interposer Power Distribution Network Resonance Effects on High-Speed Through Glass Via Channel, IEEE Trans. Electromagnetic Compatibility Society., to be published. [7] A. C. Scogna, A. Orlandi, V. Ricchiuti, Signal and Power Integrity Analysis of Differential Lines in Multilayer Printed Circuit Boards With Embedded Electromagnetic Bandgap Structures, IEEE Trans. Electromagnetic Compatibility, 2009 IEEE Symposium on., Vol. 52, no. 2, pp. 357 364, May, 2010. [8] K. Cho, H. Lee and J. Kim, Signal and Power Integrity Design of 2.5D High Bandwidth Memory Module on Si Interposer, IEEE Trans. Pan Pacific Microelectronics Symposium, Jan, 2016. Subin Kim received the B.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Kore, in 2015, where he is currently pursuing the M.S. degree. His current research interests include power integrity issues in power distribution network (PDN) in 3-D IC and on-interposer adaptive PDN design. Joungho Kim received the B.S. and M.S. degrees from Seoul National University, Seoul, Korea, in 1984 and 1986, respectively, and the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 1993, all in electrical engineering. He joined the Memory Division, Samsung Electronics, Suwon, Korea, in 1994, where he was involved in gigabit-scale DRAM design. In 1996, he joined the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. He is currently a Professor with the Department of Electrical Engineering, KAIST. He is also the Director of the 3-D Integrated Circuit (IC) Research Center supported by SK Hynix Inc., and the Smart Automotive Electronics Research Center supported by KET Inc. He has given more than 219 invited talks and tutorials in academia and related industries. In particular, his major research interests include chip-p ackage-printed circuit board (PCB) co-design and co-simulation for signal integrity, power integrity, ground integrity, timing integrity, and radiated emission in 3-D IC, through-silicon via (TSV), and interposer. He has authored or co-authored over 404 technical papers in refereed journals and conference proceedings. He has authored a book entitled Electrical Design of Through-Silicon-Via (Springer, 2014). His current research interests include electromagnetic compatibility (EMC) modeling, design, and measurement methodologies of 3-D IC, TSV, interposer, system-in-package, multilayer PCB, and wireless power transfer (WPT) technology for 3-D IC. Dr. Kim was a recipient of the Outstanding Academic Achievement Faculty Award of KAIST in 2006, the KAIST Grand Research Award in 2008, the National 100 Best Project Award in 2009, the KAIST International Collaboration Award in 2010, the KAIST Grand Research Award in 2014, respectively, and the Technology Achievement Award from the IEEE Electromagnetic Society in 2010. He was the Conference Chair of the IEEE WPT Conference in Jeju Island, Korea, in 2014, the Symposium Chair of the IEEE Electrical Design of Advanced Packaging and Systems Symposium in 2008, and the TPC Chair of the Asia-Pacific International EMC Symposium in 2011. He was appointed as the IEEE EMC Society Distinguished Lecturer from 2009 to 2011. He is a TPC Member of Electrical Performance of Electronic Packaging and System. He is an Associate Editor of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY. He served as a Guest Editor of the Special Issue of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY for PCB level signal integrity, power integrity, and electromagnetic interference/emc in 2010, and the Special Issue of the IEEE TRANSACTIONS ON ADVANCED PACKAGING for through-silicon-via in 2011. Recently, he published a book, Electrical Design of Through Silicon Via, by Springer in 2014.