PART-A. 2. Expand ASCII and BCD ASCII American Standard Code for Information Interchange BCD Binary Coded Decimal

Size: px
Start display at page:

Download "PART-A. 2. Expand ASCII and BCD ASCII American Standard Code for Information Interchange BCD Binary Coded Decimal"

Transcription

1 PART-A 1. What is radix? Give the radix for binary, octal, decimal and hexadecimal Radix is the total number of digits used in a particular number system Binary - 2 (0,1) Octal - 8 (0 to 7) Decimal - 10 (0 to 9) Hexa Decimal - 16 (0 9 and A= to F) 2. Expand ASCII and BCD ASCII American Standard Code for Information Interchange BCD Binary Coded Decimal 3. Give the one s and two s complement for 1010 One s complement = 0101 Two s complement = Perform the simple binary addition for (1) (2) Add Add

2 5. Perform simple binary multiplication Multiply 1100 x x Perform Binary Division Divide / Ans: (110.11) 2 7. What do you mean by positive and negative logic There are two ways of assigning the Boolean values 0 and 1 to the two voltage levels Low and High of a circuit.

3 In the positive logic interpretation, LOW = 0 and HIGH = 1 In negative logic, LOW = 1 and HIGH = Draw the symbol of X-NOR gate and give its truth table. EX-NOR GATE: The EX-NOR operation is the complement of XOR operation. The output of EX-NOR is HIGH only when the logic values of both inputs A and B are same ie. either both are 1 or both are 0. Its output is 0 when its inputs are different. The truth table and the symbol are shown below. Here A and B are two inputs and the output is A B = A. B +B. A INPUT OUTPUT A B Y= A B Explain NOR and AND NOR as AND Gate: INPUT OUTPUT A B Y=A.B

4 Here, the first two NOR gates inverts the input variables as A and B. Then, the two input NOR gate produces an output as A + B which gives the output of AND gate as A. B =A.B 10. Give associate law and commutative law of Boolean postulate with proof Commutative Laws Commutative laws states that the order of adding or multiplying is not important. In other words, we get the same answer by adding X to Y as we do by adding Y to X. Similarly in multiplication also X.Y=Y.X 1. X+Y=Y+X 2. X.Y=Y.X Associative Laws: The expression can be expanded by multiplying term as in ordinary algebra. The associative laws states that we can group any two terms of a sum or any two factors of a product. In other words, give X+Y+Z. we can first add X and Y, and then add the result to Z. In other words, we can add Y and Z and then add the result to X. 3. X.(Y.Z)=(X.Y).Z=X.Y.Z 4. (X+Y)+Z=X+(Y+Z)=X+Y+Z

5 11. Explain minterm and maxterm with examples A minterm is a product of all the variables within the logic system, complemented or not. Thus, if x and y are the variables, then the minterms are xy, x y, x y, x y. A maxterm is a sum of variables within the logic system, complemented or not. If x,y,z are all the variables within a system, the following are the maxterms. Eg. (X+ Y ), (X+ Y) 12. Define Quad, Pair Quad: Four adjacent one s in Karnaugh Map Pair : Two adjacent one s in karnaugh map 13. Discuss two variable map TWO Variable map: The first row is for B the second row is for B. Similarly the first column is for A and the second column is for A. A B A B A B B A B AB A To identify the square, the variables in both row and column are identified. For the first square of the first row, the variables are B & A and it represents the product term A B.

6 14. Simplify the following Boolean Expressions Using Karnaugh Map A BC +ABC + A BC+ABC+A B C + A B C A B A B AB A B C C 1 1 C B Solution: B+C 15. Show that Show that: (A+B)(A+C)=A+BC =AA+AC+BA+BC =A+AC+BA+BC =A(1+C)+BA+BC =A+BA+BC =A(1+B)+BC =A+BC 16. What is don t care? The minterm is a combination of variables, whose logical value is not specified. It cannot be marked with a 1 in the map because it would require that the function should always be a 1 for such a combination. Putting 0 on the square requires the function to be 0. To distinguish, the don t care condition from 1s and 0s, the multiplication symbol x is used. Thus an x inside a square in the map indicates that we don t care whether the value of 0 or 1 is assigned to the function for that particular minterm.

7 17. What is prime implicant? In a Sum of Product expression, each product term is known as implicant. On a K-Map, each implicant relates to a single 1 square or a group of adjacent 1 squares. A prime implicant is an implicant which cannot be wholly enclosed by a larger implicant on a Karnaugh Map. A non-essential prime implicant can be removed without leaving any 1 square and enclosed. A B A B AB A B C D C D CD 1 C D Prime Implicant 18. Draw the circuit and truth table for half adder In half adder, There are two inputs A and B and two outputs sum and carry. Sum column is labeled with summation symbol Σ and the carry column is labeled with C 0 A B Half Adder Sum Carry Boolean expression for sum ( Σ ) and output of the half adder is A B = A B +B A (ie) The two input XOR gate is

8 needed to produce the sum output. The two input AND is needed to produce carry output. A.B. INPUTS OUTPUTS A B SUM CARRY The half adder circuit adds only the LSB column (1s column ) in a binary addition problem. 19. What do you mean by combinational and sequential circuit? A combinational circuit performs a specific operation which is fully specified logically, by a set of Boolean functions. Eg: Adders, Multiplexer, Encoder, Decoder, Demultiplexer etc Sequential circuits include memory elements (binary cells) in addition to logic gates. Their outputs are functions of the inputs and the state of the memory elements, which is a function of the previous inputs. As a result, the outputs of a sequential circuit depend not only on the present inputs but also on the past inputs, Eg: Flip flop, Registers, Counters etc. 20. Give the truth table for RS flip flop. Input Output S R Q Q

9 21. What is a counter? Give its types. Counter is a sequential logic circuit that counts the number of incoming clock pulses. It consists of an array of flip fops Counters do jobs like dividing frequency, addressing and serving as memory units. There are two types of counters. (1) Parallel counter (or) synchronous counters (2) Ripple counter (or) Asynchronous counters 22. What is a shift registers? What are the its different types? Shift register is a register that shifts its contents within itself without changing the order of the bits. It may be designed to shift the data either to the left or to the right. The data is shifted one bit at a time when a clock pulse is applied. The shift register is used for temporary storage of data. It is used for multiplication and division where bit-shifting is required. Here the output of one flip flop is connected to the input of the following flip-flop. Depending on the modes of loading and reading data, there are FOUR types of shift registers. 1. Serial-in Serial-out 2. Serial-in Parallel-out

10 3. Parallel-in Serial-out 4. Parallel-in Parallel-out 23. Define Preset and Clear When Power is switches on, the flip flop goes to random state. So, presetting or clearing is required before applying inputs. For such a purpose, preset PR and clear CLR terminals are provided. Small bubbles shown at PR and CLR terminals indicate that they are effective when they are low. A low PR sets Q to 1. Similar a low CLR resets Q to 0. PR and CLR cannot be made to low at the same time. 24. What do you mean by positive edge and negative edge triggered flip flop? Positive edge triggered flip flops SET/RESET at the positive (rising or leading) edge of the clock pulse depending upon the state of the input signals. The SET/RESET state of the output remains steady for one clock period and the clock again samples the input signal on the next positive edge of the clock. Negative edge triggered flip flops SET/RESET at the negative (falling or trailing) edge of the clock pulse. A small circle known as bubble is placed before the arrow head to show the negative edge triggering. 25. Define Microprocessor

11 A microprocessor is a computer processor that incorporates the functions of a computer's central processing unit (CPU) on a single integrated circuit (IC). It is also the brain of the system. 26. What are the components of microcomputer? Arithmetic and Logical Unit, Timing and Control Unit, Registers, 27. List the types of semiconductor memory? Random Access Memory, Read Only Memory, EPROM, EEPROM 28. List out the general and special purpose registers in 8085 Accumulator,B,C,D,E,H and L 29. What is a bus? What are the different types of buses. Define The group of lines used for connecting input/ output devices and memories with the CPU is called bus. Data Bus, Address Bus Data Bus: 8 bits of data are transmitted to the in parallel D0-D7 Address Bus: Transmit Address and Data at different moments in a multiplexing technique AD0-AD Explain : INTR, IO/M, HLDA, ALE INTR : Interrupt request signal. It is sampled in the last state of the last machine cycle IO/M : It is the status signal that distinguishes whether the address is for memory or IO HLDA: It is the signal for Hold Acknowledgement, that

12 indicates the HOLD request has been received ALE : It is an Address Latch Enable that enables the lower 8 bits of the address to be latched either to IO or memory 31. What is Interrupt? Which has the highest priority? The request signal that affects the normal sequence of the program. TRAP has the highest priority 32. What are the different types of flags? Carry Flag(C) Auxilliary Carry Flag(AC) Zero Flag (Z) Parity Flag (P) Sign Flag (S) 33. Give two examples for two byte instruction. Explain MVI A,05 Moves the immediate data 05 to Acc IN 08 Reads the port value 08 to Accumulator 34. Write down the five different types of instruction set. Data Transfer group Arithmetic group Logical group Branch group and Stack, I/O and Machine Control group 35. Define Addressing mode

13 The instruction requires certain data on which it has to operate have various techniques called Addressing modes. 36. Write an ALP for 8-bit simple addition(without carry) LXI H, 8850 MOV A,M INX H ADD M STA What is PSW? Program Status Word. 5 status flags and three undefined bits is called PSW. 38. What is program counter? The PC stores the address of the next instruction that is going to be executed.it is a 16 bit register. 39. Define Stack Pointer? register Stores the address of the Stack Top. It is a 16 bit 40. What is PUSH and POP? Inserting and Deleting an item to and from the stack.

14 PART B 1. Convert the following binary numbers into their equivalent decimal numbers (10.110) 2 = ( ) 10 (1.1110) 2 = ( ) x 2-3 = x2-4 = x 2-2 = x2-3 = x 2-1 = x2-2 = x 2 0 = x 2 1 = x2-1 = x = (10.110) 2 = ( 2.75) 10 (1.1110) 2 = ( 1.875) 10 (11.10) 2 = ( ) x2-2 = x2-1 = x2 0 = 1.0

15 1 x2 1 = 2.0 (11.10) 2 = ( 3.5)

16 2. Convert the following hexadecimal numbers into their equivalent binary numbers A A2B ABE A Convert the following binary numbers into their equivalent hexadecimal numbers / 0101 / 0110 = / 0001 / 0001 = B / 1010 = E A / 1111 / 0110 = 1 F 6 4. Write about NAND GATE: with truth table A NAND gate has two or more inputs and only one output. The symbol shows the standard 2 input NAND gate. The output is given by Y= A. B. The NAND operation can be performed by the combination of AND gate and INVERTER. This function can also be performed by the combination of inverter and one AND gate. As the inverter is frequently used, it is represented by a small circle known as bubble. The NAND gate has logical completeness. It means that any other logical operations can be performed using only NAND gates. It is easier to fabricate NAND gates using IC technology. NAND gates consumes less power. Hence, NAND gates are known as UNIVERSAL gate because other basic gates (AND, OR, NOT) can be realized using NAND gates. When both the inputs or any one of the input is 0, the output is 1 and when both the input is 1, the output is 0.

17 Equivalent Circuit and its Symbol with Truth Table: INPUT OUTPUT A B Y= A. B Explanation: Case:1 If A=0 and B=0 then A. B = 0. 0 = 0 =1 Case :2 If A=0 and B=1 then A. B = 0. 1 = 0 =1 Case:3 If A=1 and B=0 then A. B = 1. 0 = 0 =1 Case :4 If A=1 and B=1 then A. B = 1. 1 = 1 = 0 5. What is EX-OR gate? Explain with truth table EXCLUSIVE OR gate: The Exclusive-OR operation is same as OR operation with the exception that the output is 0 when both inputs A and B are 1. For all other cases, the outputs of EX-OR and OR are exactly same. The symbol and the truth table are as follows. Here Exclusive means A. B +B. A INPUT OUTPUT A B Y= A B Case:1 If A=0 and B=0 then = A B = 0 0 = 0 Case :2 If A=0 and B=1 then = A B = 0 1= 1

18 Case:3 If A=1 and B=0 then = A B = 1 0 = 1 Case :4 If A=1 and B=1 then = A B = 1 1= 0 An Ex-OR gate can be used for binary addition. The output of an Ex-OR gate is equal to the sum of two binary bits. The carry can be obtained by using AND gates. The output of an AND gate is equal to carry resulting from binary addition. 6. Write any 5 basic laws of Boolean Algebra BOOLEAN POSTULATES: Principles of AND Operation 1. 0.X=0 2. X.0= X=X 4. X.1=X Principles of OR Operation 5. X+0=X 6. 0+X=X 7. 1+X=1 8. X+1=1 Combining a variable with its complement 9. X.X=X 10. X. X =0 11. X+X=X 12. X+ X =1 Double complementation 13. X =X Commutative Laws

19 Commutative laws states that the order of adding or multiplying is not important. In other words, we get the same answer by adding X to Y as we do by adding Y to X. Similarly in multiplication also X.Y=Y.X 14. X+Y=Y+X 15. X.Y=Y.X Associative Laws: The expression can be expanded by multiplying term as in ordinary algebra. The associative laws states that we can group any two terms of a sum or any two factors of a product. In other words, give X+Y+Z. we can first add X and Y, and then add the result to Z. In other words, we can add Y and Z and then add the result to X. 16. X.(Y.Z)=(X.Y).Z=X.Y.Z 17. (X+Y)+Z=X+(Y+Z)=X+Y+Z Distributive Laws: 18. X.(Y+Z)= X.Y+X.Z 19. X+Y.Z=(X+Y).(X+Z) Absorption Laws: 20. X+XY=X 21. X(X+Y)=X 22. XY+XY =X 23. (X+Y)(X+ X )=X 24. X+ X Y=X+Y 25. XZ+Z X Y=ZX+ZY 26. (Z+X)(Z+ X +Y)=(Z+X)(Z+Y) 27. XY+ X Z+YZ=XY+ X Z 28. (X+Y)( X +Z)(Y+Z)=(X+Y)( X +Z) 29. XY+ X Z=(X+Z)( X +Y) 30. (X+Y)( X +Z)=XZ+ X Y

20 7. Show that (A+B+C)(A+B+ C )=A+B = AA+AB+A C +BA+BB+BC +CA+CB+C C =A+AB+ A C +BA +B+ BC +CA+CB =A(1+B)+ A C +B(1+A)+ =A+ A C +B+ B( C +C)+CA =A(1+ C )+B+CA =A+B+CA =A(1+C)+B =A+B BC +CA+CB 8. Show that X+ X Y = (X+ X )(X+Y) Taking RHS (X+ X )(X+Y) =XX+XY+ X X+ X Y =X+XY+ X Y =X(1+Y)+ X Y =X+ X Y 9. F(A,B,C,D)= (1,3,7,11,15) and the don t care conditions are d(a,b,c,d) = (0,2,5) CD C D C D CD C D F(A,B,C,D) = CD+ A D+ A B 10. Explain and Rolling Map and Redundant Map ROLLING MAP: AB A B x 1 1 x A B 0 x 1 0 AB A B We can also roll and overlap to get the largest groups that can be found. For example Here, an Octet is formed with 8 adjacent 1 s and a quad with 4 1 s is rolled.

21 CD C D C D CD C D AB A B A B AB A B C B D REDUNDANT GROUPS: After encircling groups, there is one more thing we should do before writing the simplified Boolean equation ie eliminate any group whose 1s are completely overlapped by other groups. CD C D C D CD C D AB A B A B AB A B C BD CD (we can omit due to repetition) 11. Explain Full Adder Circuit with neat diagram and truth table FULL ADDER: It is a combination of two half adders. It has got three inputs and two outputs. It can add 3 digits at a time. The bits A and B which are to be added come from the two registers and the third input come from the carry generated by the previous addition.

22

23 A circuit called a full adder must be used for the 2s, 4s, 8s, 16s and higher places in binary addition. The truth table shows all the possible combinations of A,B and C in (carry in). Full adders are used for all binary place values except the 1s place. It is used for an extra carry input. It has three inputs C in, A,B. It has got two outputs sum (Σ ) and carry (C 0 ). INPUTS OUTPUTS A B C Sum Carry Full Adder circuit can be connected with 2 half adder circuits and an OR gate. The expression for sum is Σ = A B C The expression for carry is AB+BC+CA The eight rows under the input variables, represent all the possible combinations of 1s and 0s. The output variables are determined form the arithmetic sum of the input bits. When all input bits are 0s, the output is 0. The S output is equal to 1 when only one input is equal to 1 or when all three inputs are equal to 1. The C output is equal to1, if two or three inputs are equal to 1. Explanation: Sum: S = A B +C i Carry C 0 = (A B). C i + A.B = (A B + B A ) Ci + A.B

24 = A B Ci + B A Ci + A.B 12. With neat diagram discuss encoder circuit An encoder is considered to be a circuit which has multiple inputs and generates a particular address as the output. If there is only one active input, it is easy to encode. If more than one input is active at the same time, we have to establish some priorities and such a device is called priority encoder. The priority is established according to the position of the input. An encoder has 2 n input lines and m output lines. The output lines generate the binary code for the 2 n input variables.

25 13. Explain Multiplexer in detail A multiplexer is a circuit that has more number of inputs but only one output. A digital multiplexer is a combinational circuit that selects binary information from one of the many input lines and directs it to a single output line. The selection of a particular input line is controlled by a set of selection (control) lines. In general, there are 2 n input lines and n selection lines whose bit combinations determine which input is to be selected. Block Diagram m control signals n.. Multiplexer input signals : 1 output signal The multiplexer has n input signals, m control signals and 1 output signal. Each of the four input lines I 0,I 1,I 2 and I 3 is applied to one input of an AND gate. Selection lines S1 and S0 are decoded to select a particular AND gate. The following function table lists the input-tooutput path for each possible bit combination of the selection lines. Explanation: When S 1 =0 and S 0 =0 (ie) 10, the AND gate associated with input I 2 has two of its inputs equal to 1 and the third input connected to I 2. The other three AND gates have at least one input equal to 0, which makes their output equal to 0. The OR gate output is now equal to the values of I 2, thus providing a path from the selected input to the output. A multiplexer is also called a data selector, since it selects one of the many inputs and steers the binary information to the output line. S1 S0 I 0 Multiplexer inputs to (4 x 1) 1 output (Y) I 3

26 Function Table for 4 x1 multiplexer S 1 S 0 Y 0 0 I I I I 3 The AND gates and inverters in the multiplexer resemble a decoder circuit and indeed, they decode the input selection lines. The 2n to-1 line multiplexer is constructed form an n-to 2n input line, one to each AND gate. The output of the AND gates is applied to a single OR gate to provide the 1-line output. The size of the multiplexer is determined by the number 2n of its input lines and the single output line. It is often abbreviated as MUX. 14. Explain RS Clocked Flip flop with its truth table THE CLOCKED RS FLIP FLOP: It consists of a basic NOR flip flop and two AND gates When the clock pulse (CP) is zero, the outputs of the two AND gates remain at 0 regardless of what the input values S and R

27 are. On the other hand, when the clock pulse goes to a 1, the input vales of S and R are allowed to reach the basic flip flop. For example, when S=1, R=0 and CP=1, the set value (S=1) is allowed to reach the basic flip flop. Similarly, the reset value (R=1) will reach the basic flip flop when S=0; R=1 and CP=1. However, when both s=1 and R=1, the occurrence of a clock pulse makes both the outpus of the flip flop may go to either a 1 or a 0. (ie) it cannot be predicted and this state of the flip flop is called indeterminate. Which output state would result, depends upon whether it is set or the reset input of the basic flip flop remains a 1 longer before the transition of the clock pulse to 0. Symbol: RS flip flop: S Q R Q The symbol of clocked RS flip flop is shown. IT has got three inputs-s,r, and CP. The CP input is marked by a small triangle. This triangle is a symbolic representation for a dynamic indicator. It is meant to denote that the flip flop responds to an input clock transition from a low level (binary 0) to a high level (binary 1) signal. The truth table for In this table Q is the state of the flip flop at a Input Output S R Q Q(t+1) X X the RS flip flop is shown. present value of the binary given time and the S and R

28 as usual give the possible values of the inputs and Q(t+1) represents the next state of the flip flop after the occurrence of a clock pulse. 15. What is JK Flip Flop? Explain with its suitable diagram and truth table. J-K flip flop: The JK flip flop is the improved version of the RS flip flop. The indeterminate state of the RS flip flop gets defined in JK type flip flop. The inputs J and K behave like the inputs S and R to the SET and CLEAR the flip flop respectively. (ie) the input J sets the flip flop and the input K resets the flip flop. When both the inputs J and K are applied simultaneously, the flip flop switches to its complement state. (ie) Q=1, it switches to Q-0 and vice versa. Symbol J K Q Q This flip flop is very useful in counter circuits. It has two control signals J an K and the function of the flip flop is determined by these signals when a clock pulse arrives,. When both J and K are low, both the AND gates are disabled and there is no effect of the clock pulse and the output remains what was before the arrival of the pulse. When J is a low and K is high, the lower gate is disabled and the flip flop cannot be reset if Q is low. In this case if Q is high, as

29 soon as a clock pulse arrives the upper gate will pass a reset trigger forcing Q to become 0. Ie. when J=0 and K=1 it will reset the counter, if nor already reset, on the arrival of a clock pulse. When J is a high and K is a low, the upper gate will be disabled and flip flop cannot be set if Q is low. In this case, if Q is high, as soon as a clock pulse arrives, the lower gate will pass a set trigger and will force the output Q to be a 1. Thus J=1 and K=0 will set the flip flop. Input Output Q J K Q(t+1) When both J=1 and K=1(forbidden state in RS flip Flop), we can set or reset the flip flop depending upon its present position. IF Q is low, the lower gate passes a set trigger on the arrival of the next clock pulse and Q will be changed from Q=0 to Q=1. If Q is high, the upper gate passes a reset signal on arrival of the next clock pulse will force Q from 1 to become a 0. Ie. If it is 0 will become a 1 and if it is a 1 it will become a 0. ie the flip flop will toggle on the occurrence of the next pulse. In either case, the output state of the flip flop is complemented.

30

31 16. PART C 1. Convert the following decimal numbers into their equivalent binary numbers a. Decimal number = 24 b. Decimal number = 237 c. Decimal number = 46

32 (24) 10 = (11000) (237) 10= ( ) (46) 10 = (101110) 2 ( ) 10 Integer part Decimal part x 2 = with a carry of x 2 = with a carry of x 2 = with a carry of x 2 = with a carry of Convert the following Decimal numbers into their equivalent hexadecimal numbers ( )10 = ( ) 2 Decimal number = 140 Decimal number = C (140) 10 = (8C) A (26) 10 = (1A) 16

33 Decimal number = 729 Decimal number = D (729) 10 = (2D9) F (1272) 10 = (4F8) Explain 9 s and 10 s complements with example 9 s complement: To form the 9 s complement of decimal number each digit of a decimal number is subtracted from 9. the result so obtained is known as 9 s complement of the number.

34 Example : Give the 9 s complement of the following s Complement: The 10 s complement of a decimal number is equal to the 9 s complement of the number plus s complement = 9 s complement +1 Example : Give the 10 s complement of the following: s complement s complement s complement s complement

35 4. Explain the conversion of Binary to Gray code with example on your own Binary to Gray Conversion: The first Gray digit is the same as the first binary digit. Add each pair of adjacent binary bits to get the next Gray digit. The carries, if any are discarded. This form of addition is formally called the mode-2 addition or exclusive OR addition. The four rules for this kind of addition are 0+0=0 0+1=1 1+0=1 1+1=0 Example : 1 Covert binary number 1001 into its Gray code Step:1 The first Gray digit is the same as the first binary digit Binary number 1 Gray code Step:2 Add the first 2 bits of the binary number using the rules of mode-2 addition. The carry, if any, is discarded Binary Gray Step : 3 Add the next two binary digits to get the next gray digit Binary Gray Step 4: Add the last two binary digits to get the Gray digit.

36 Binary Gray Therefore 1101 is the gray code equivalent of the binary number Example: 2 Convert binary number 1101 into its gray code Binary Gray So the conversion of 1101 Binary to the Gray code is 1011 Example : 3 Convert binary number into its gray code Binary Gray So, the conversion of binary to the Gray Code is

37 5. Explain NOR as Universal Gate? NOR gate as a Universal building block NOR as INVERTER: A NOT gate can be made out of a NOR gate by connecting all its inputs together. If input A is 0, then the output of the NOR gate is If input A is 1, then the output of the NOR gate is A. A = 0. 0 =1= A A. A = 1. 1=0= A INPUT OUTPUT A Y= A NOR gate as OR gate: NOR gate can be used to construct an OR gate. The output of the first NOR gate is A B. The second NOR gate in turn complements the given input giving double complement ie A B. The double complement of a quantity is the quantity itself. Therefore the output is A+B which represents the output of the OR gate. INPUT OUTPUT A B Y=A+B NOR

38 as AND Gate: Here, the first two NOR gates inverts the input variables as A and B. Then, the two input NOR gate produces an output as A + B which gives the output of AND gate as A. B =A.B INPUT OUTPUT A B Y=A.B NAND Gate: Here, the first two NOR gates inverts the input variables as A and B. Then, the two input NOR gate produces an output as A + B, which gives the output of OR gate A. B =A.B, again the output is sent as input to another NOR gate, thus producing the output as represents the output of NAND gate. A. B which The logic circuit and the truth table is given below. INPUT OUTPUT A B Y= A. B

39 6. State and prove Demorgan s Theorem Demorgan s Theorem 1. X Y Z = X.Y. Z 2. X. Y. Z = X +Y + Z Demorgan First theorem: Circuit implications 1. It states that X Y Z = X.Y. Z The left side of the above equation represents an OR gate followed by an inverter. Originally called a NOT-OR gate, this combination of two gates is now referred to as a NOR gate and its abbreviated logic symbol is shown. The right side of Demorgan s first theorem is X. Y. Z ; this represents an AND gate whose inputs are inverted as shown.. An AND gate with inverted inputs is shown as bubble AND gate. LHS = RHS Hence, Demorgan s first theorem thus established with the equivalent of the NOR gate and bubbled AND gate. To prove X Y Z = X.Y. Z

40 Case :1 X=0 Y=0 Z=0 Left Side 0 0 0= 0 =1 Right Side =1.1.1=1 Case:2 X=0 Y=0 Z=1 Left Side = 1 = 0 Right Side = =0 Case :3 X=0 Y=1 Z=0 Left Side =1 =0 Right Side = 1.0.1=0 Case:4 X=0 Y=1 Z=1 Left Side 0 1 1= 1 =0 Right Side = = 0 Case:5 X=1 Y=0 Z=0 Left Side 1 0 0= 1 =0 Right Side = = 0 Case:6 X=1 Y=0 Z=1 Left Side 1 0 1= 1 =0 Right Side = = 0 Case :7 X=1 Y=1 Z=0 Left Side 1 1 0= 1 =0 Right Side = = 0 Case :8 X=1 Y=1 Z=1 Left Side 1 1 1= 1 =0 Right Side = = 0

41 Demorgan s Second Theorem states that X. Y. Z = X +Y + Z The left side of the second theorem is shown. The NOT-AND gate combination is referred to as NAND gate, for which the abbreviated logic symbol is shown. The right side of the second theorem states that the inputs are inverted before reaching the OR gate as shown. This combination is used so often that it has an abbreviated logic symbol which is shown. Here the triangles are deleted and the bubbles are moved directly to the inputs, This abbreviated logic symbol is also called a bubbled OR gate. Demorgan s theorem are useful in changing Boolean expressions to equivalent forms, To apply Demorgan s theorems, change the plus signs to multiplication signs or vice versa, and take the complement of an individual term rather than that of the entire expressions. Demorgan s second theorem: X. Y. Z = X +Y + Z LHS=RHS To change X Y Z Step:1 Step :2 Change the plus sign to. sign to X.Y.Z Take the complement of each term to get X.Y. Z ie. X Y Z = X.Y. Z

42 We can prove the Demorgan s theorem by means of truth table also. Let us prove the second theorem by truth table method To Prove X. Y. Z = X +Y + Z INPUTS LHS RHS X Y Z X.Y.Z X. Y. Z X Y Z X +Y + Z Simplify the following Boolean function into Sum of Products form F(A,B,C,D) = (0,1,2,5,8,9,10) CD C D C D CD C D B C + B D + B C D AB A B A B AB A B F(A,B,C,D)=

43

44 Product of Sums CD C D C D CD C D AB A B A B AB A B =AB+BC+CD F 8. Discuss Demultiplexer in detail De multiplexer means one into many. A De multiplexer is a logic circuit with one input and many outputs. By applying control signals, we can steer the input signal to one of the output lines.. Block Diagram

45 m control signals 1.. De multiplexer input signal : m output signals A de multiplexer is a circuit that receives information from a single line and transmits this information to one or more possible output lines. The selection of a specific output line is controlled by the bit values of n selection (control) lines. The number of selection lines is 2 for a 1-4 De multiplexer, 3 for a 1-8 De multiplexer and so on. Let us consider a demultiplexer which has two selection lines A and B and four output lines D0, D1, D2 and D3 along with the one enable line E. The truth table is also given A Selection Lines B input D 0 to D 3 DeMultiplexer E output (1 x 4) Function Table for 1 x 4 De multiplexer Enable Input Output IN A B OUT0 OUT1 OUT2 OUT

46 9. Explain Decoder in detail DECODERS: A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2n unique output lines 3 to 8 Decoder: The 3 to 8 decoder circuit is shown in the figure. The three inputs are decoded into eight outputs, each output representing one of the minters of the 3 input variables. The three inverters provide the complements of the inputs, and each one of the eight AND gates generates one of the minterms.

47 Circuit Diagram Input Output A2 A1 A0 Z0 Z1 Z2 Z3 Z4 Z5 Z6 Z

48 Explanation: For example, when A 2 A 1 A 0 = 111, only Z 7 AND gate has all high inputs, therefore, only the Z 7 output is high. If A 2 A 1 A 0 = 101, only Z 5 AND gate has all high inputs, therefore, only the Z 5 output is high. A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2 n unique output lines. If the n-bit decoded information is not used or if there are don t care combinations, the decoder output will have less than 2 n outputs. The decoders represented here are called n-to-m line decoders, where m<=2n. The main purpose is to generate the 2n minterms of n input variables. The name decoder is also used in conjunction with some code converters such as a BCD to seven segment decoder. 10. Explain Ripple Counter with diagram RIPPLE COUNTER Counting in binary and decimal is illustrated in the figure. With four binary places (D,C,B,A). We can count from 0000 to 1111 ( 0 to 15 in decimal ) column A is the 1 s binary place or LSD (least significant digit) The column D is the 8s binary place (or) MSD (Most significant digit). A counter to count from , a device need 16 different states; a modulo (mod-16) counter. Modulus of a counter is the number of different states the counter must go through to complete its counting cycle. A mod-16 counter using 4 JK flip flops is shown in the figure. Each JK flip flop is in its toggle position ( J and K both at 1).

49 Assume the outputs are cleared to As clock pulse 1 arrives at the clock (CLK) input of flip flops 1 (FF1), it toggles and display shows 0001 ( on the negative edge toggling). Clock pulses 2 causes FF1 to toggle again, returning output Q to 0, which causes FF2 to toggle to 1. The count on the display no w reads The counting continues, with each flip flop output triggering the next flip flop on its negative going pulse. Column A (1 c column ) must change state on every count. This means that FF1 must toggle for each clock pulse. FF2 must toggle only half as often as FF1, as in column B. CLOCK OUTPUT D C B A

50 The counting of the mod-16 counter is shown up to a count of decimal 10 (binary 1010) by waveforms. The CLK input is shown on the top line. The state of each flip flop FF1,FF2,FF3,FF4 is represented on the waveforms. The binary count is shown across the bottom of the diagram. Vertical line shows that the clock triggers only FF1. FF1 triggers FF2; FF2 triggers FF3 and so on. Because one flip flop affects the next one, it takes some time to toggle all the flip flops. For instance, at point a on pulse 8, notice that the clock triggers FF1, causing it to go to 0. In turn FF2 to 1 to 0; in turn FF3 to 1 to 0., in turn FF3 reaches 0 it triggers FF4, which toggles from 0 to 1. The changing of states is a chain reaction that ripples through the counter. Hence this counter is called as RIPPLE COUNTER. 11. Explain any one type of Shift register with neat diagram and truth table Serial in Serial Out Shift Register: Here, D flip is used to shift the serial data from one flip flop to the another flip flop. FF0 is the first flip flop and the serial input fed is shifts to the second flip flop FF! though Q0, and

51 from Q1 to FF2, and from Q2 to FF3 and so on. Clock has been sent simultaneously to all the four flip flops. Parallel Load Shift Registers The inputs for parallel loading of 4 bits are Q A,Q B,Q C,Q D. This system also incorporates a re circulating feature that puts the output data back into the input so that it is not lost. This shift registers uses 4 D flip flops. At first all the flip flops are put to 0 through a CLR signal. When the inputs given to Q A,Q B,Q C,Q D are 0000, then the output will 0000 in parallel output section denoted by P A P B P C P D as shown in the diagram. If the input to Q A,Q B,Q C,Q D are 0101 then the output P A P B P C P D will be 0101.(ie) the parallel output is the replica of the parallel input. CONCLUSION: Thus, we have learnt RS, JK flip flop and the pulse triggering of positive and negative edge with the PRESET and CLEAR inputs. Also, we have learnt the shift registers to shift the

52 data from one flip flop to another flip flop in serial and parallel form. We have learnt synchronous and Asynchronous from of counters to count the pulses in octal and decimal form

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12]

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I : BOOLEAN ALGEBRA AND LOGIC GATES PART - A (2 MARKS) Number

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12] Q.1(a) (i) Derive AND gate and OR gate

More information

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS Unit : I - V Unit : I Overview Fundamentals of Computers Characteristics of Computers Computer Language Operating Systems Generation of Computers 2 Definition of

More information

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 4.1.1 Signal... 4 4.1.2 Comparison of Analog and Digital Signal... 7 4.2 Number Systems... 7 4.2.1 Decimal Number System... 7 4.2.2 Binary

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary Number System Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary BOOLEAN ALGEBRA BOOLEAN LOGIC OPERATIONS Logical AND Logical OR Logical COMPLEMENTATION

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each) Subject Code: 17333 Model Answer Page 1/ 27 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function Question Paper Digital Electronics (EE-204-F) MDU Examination May 2015 1. (a) represent (32)10 in (i) BCD 8421 code (ii) Excess-3 code (iii) ASCII code (b) Design half adder using only NAND gates. ( c)

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, Second Semester: 2015/2016 Dept. of Computer Engineering Course Title: Logic Circuits Date: 08/06/2016

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Introduction Logic Gates Boolean Algebra Map Specification Combinational Circuits Flip-Flops Sequential Circuits Memory Components Integrated Circuits Digital Computers 2 LOGIC GATES

More information

UNIT II COMBINATIONAL CIRCUITS:

UNIT II COMBINATIONAL CIRCUITS: UNIT II COMBINATIONAL CIRCUITS: INTRODUCTION: The digital system consists of two types of circuits, namely (i) (ii) Combinational circuits Sequential circuits Combinational circuit consists of logic gates

More information

Binary logic consists of binary variables and logical operations. The variables are

Binary logic consists of binary variables and logical operations. The variables are 1) Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets such as A, B, C, x, y, z, etc., with each variable having only two

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Fundamentals of Boolean Algebra

Fundamentals of Boolean Algebra UNIT-II 1 Fundamentals of Boolean Algebra Basic Postulates Postulate 1 (Definition): A Boolean algebra is a closed algebraic system containing a set K of two or more elements and the two operators and

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE Estd-1984 KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE 641 006 QUESTION BANK UNIT I PART A ISO 9001:2000 Certified 1. Convert (100001110.010) 2 to a decimal number. 2. Find the canonical SOP for the function

More information

Digital Electronics Circuits 2017

Digital Electronics Circuits 2017 JSS SCIENCE AND TECHNOLOGY UNIVERSITY Digital Electronics Circuits (EC37L) Lab in-charge: Dr. Shankraiah Course outcomes: After the completion of laboratory the student will be able to, 1. Simplify, design

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of 27 WORKBOOK Detailed Eplanations of Try Yourself Questions Electrical Engineering Digital Electronics Number Systems and Codes T : Solution Converting into decimal number system 2 + 3 + 5 + 8 2 + 4 8 +

More information

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES EC 216(R-15) Total No. of Questions :09] [Total No. of Pages : 02 II/IV B.Tech. DEGREE EXAMINATIONS, DECEMBER- 2016 First Semester ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN

More information

CSC9R6 Computer Design. Practical Digital Logic

CSC9R6 Computer Design. Practical Digital Logic CSC9R6 Computer Design Practical Digital Logic 1 References (for this part of CSC9R6) Hamacher et al: Computer Organization App A. In library Floyd: Digital Fundamentals Ch 1, 3-6, 8-10 web page: www.prenhall.com/floyd/

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

DE58/DC58 LOGIC DESIGN DEC 2014

DE58/DC58 LOGIC DESIGN DEC 2014 Q.2 a. In a base-5 number system, 3 digit representations is used. Find out (i) Number of distinct quantities that can be represented.(ii) Representation of highest decimal number in base-5. Since, r=5

More information

Save from: cs. Logic design 1 st Class أستاذ المادة: د. عماد

Save from:   cs. Logic design 1 st Class أستاذ المادة: د. عماد Save from: www.uotiq.org/dep cs Logic design 1 st Class أستاذ المادة: د. عماد استاذة المادة: م.م ميساء Contents Lectured One: Number system operation 1- Decimal numbers. 2- Binary numbers. 3- Octal numbers.

More information

Why digital? Overview. Number Systems. Binary to Decimal conversion

Why digital? Overview. Number Systems. Binary to Decimal conversion Why digital? Overview It has the following advantages over analog. It can be processed and transmitted efficiently and reliably. It can be stored and retrieved with greater accuracy. Noise level does not

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

MODULAR CIRCUITS CHAPTER 7

MODULAR CIRCUITS CHAPTER 7 CHAPTER 7 MODULAR CIRCUITS A modular circuit is a digital circuit that performs a specific function or has certain usage. The modular circuits to be introduced in this chapter are decoders, encoders, multiplexers,

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Sequential Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design Sequential Logic Combinational circuits with memory

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 17 EXAMINATION Subject Name: Digital Techniques Model Answer Subject Code: 17333 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given

More information

on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept.

on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept. WINTER 17 EXAMINATION Subject Name: Digital Techniques Model Answer Subject Code: 17333 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

Digital Techniques. Figure 1: Block diagram of digital computer. Processor or Arithmetic logic unit ALU. Control Unit. Storage or memory unit

Digital Techniques. Figure 1: Block diagram of digital computer. Processor or Arithmetic logic unit ALU. Control Unit. Storage or memory unit Digital Techniques 1. Binary System The digital computer is the best example of a digital system. A main characteristic of digital system is its ability to manipulate discrete elements of information.

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Digital logic circuits BINARY NUMBER SYSTEM electronic circuits that handle information encoded in binary form (deal with signals that have only two values, and ) Digital. computers,

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 6 - Combinational Logic Introduction A combinational circuit consists of input variables, logic gates, and output variables. The logic gates accept

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering SEQUENTIAL CIRCUITS: LATCHES Overview Circuits require memory to store intermediate

More information

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3 . What is a multiplexer? esign a 4 to multiplexer using logic gates. Write the truth table and explain its working principle. Answer: is a circuit with many inputs but only one output. esigning of 4 to

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

Boolean Algebra & Logic Gates. By : Ali Mustafa

Boolean Algebra & Logic Gates. By : Ali Mustafa Boolean Algebra & Logic Gates By : Ali Mustafa Digital Logic Gates There are three fundamental logical operations, from which all other functions, no matter how complex, can be derived. These Basic functions

More information

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Principles of Digital Techniques

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Principles of Digital Techniques MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Principles of Digital Techniques Subject Code: Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word

More information

/ M Morris Mano Digital Design Ahmad_911@hotmailcom / / / / wwwuqucscom Binary Systems Introduction - Digital Systems - The Conversion Between Numbering Systems - From Binary To Decimal - Octet To Decimal

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits.

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits. CS211 Computer Architecture Digital Logic l Topics l Transistors (Design & Types) l Logic Gates l Combinational Circuits l K-Maps Figures & Tables borrowed from:! http://www.allaboutcircuits.com/vol_4/index.html!

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2017/2018 Dept. of Computer Engineering Course Title: Logic Circuits Date: 29/01/2018

More information

Digital Logic Appendix A

Digital Logic Appendix A Digital Logic Appendix A Boolean Algebra Gates Combinatorial Circuits Sequential Circuits 1 Boolean Algebra George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 Describe digital circuitry

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

BOOLEAN ALGEBRA. Introduction. 1854: Logical algebra was published by George Boole known today as Boolean Algebra

BOOLEAN ALGEBRA. Introduction. 1854: Logical algebra was published by George Boole known today as Boolean Algebra BOOLEAN ALGEBRA Introduction 1854: Logical algebra was published by George Boole known today as Boolean Algebra It s a convenient way and systematic way of expressing and analyzing the operation of logic

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

of Digital Electronics

of Digital Electronics 26 Digital Electronics 729 Digital Electronics 26.1 Analog and Digital Signals 26.3 Binary Number System 26.5 Decimal to Binary Conversion 26.7 Octal Number System 26.9 Binary-Coded Decimal Code (BCD Code)

More information

PG - TRB UNIT-X- DIGITAL ELECTRONICS. POLYTECHNIC-TRB MATERIALS

PG - TRB UNIT-X- DIGITAL ELECTRONICS.   POLYTECHNIC-TRB MATERIALS SRIMAAN COACHING CENTRE-PG-TRB-PHYSICS- DIGITAL ELECTRONICS-STUDY MATERIAL-CONTACT: 8072230063 SRIMAAN PG - TRB PHYSICS UNIT-X- DIGITAL ELECTRONICS POLYTECHNIC-TRB MATERIALS MATHS/COMPUTER SCIENCE/IT/ECE/EEE

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3) CMPE12 - Notes chapter 1 Digital Logic (Textbook Chapter 3) Transistor: Building Block of Computers Microprocessors contain TONS of transistors Intel Montecito (2005): 1.72 billion Intel Pentium 4 (2000):

More information

DIGITAL LOGIC DESIGN

DIGITAL LOGIC DESIGN DIGITAL LOGIC DESIGN NUMBERS SYSTEMS AND CODES Any number in one base system can be converted into another base system Types 1) decimal to any base 2) Any base to decimal 3) Any base to Any base Complements

More information

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS: EKURHULENI TECH COLLEGE. No. 3 Mogale Square, Krugersdorp. Website: www. ekurhulenitech.co.za Email: info@ekurhulenitech.co.za TEL: 011 040 7343 CELL: 073 770 3028/060 715 4529 PAST EXAM PAPER & MEMO N3

More information

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction Chapter 5 igital systems digital system is any machine that processes information encoded in the form of digits. Modern digital systems use binary digits, encoded as voltage levels. Two voltage levels,

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

EET 310 Flip-Flops 11/17/2011 1

EET 310 Flip-Flops 11/17/2011 1 EET 310 Flip-Flops 11/17/2011 1 FF s and some Definitions Clock Input: FF s are controlled by a trigger or Clock signal. All FF s have a clock input. If a device which attempts to do a FF s task does not

More information

Lecture 22 Chapters 3 Logic Circuits Part 1

Lecture 22 Chapters 3 Logic Circuits Part 1 Lecture 22 Chapters 3 Logic Circuits Part 1 LC-3 Data Path Revisited How are the components Seen here implemented? 5-2 Computing Layers Problems Algorithms Language Instruction Set Architecture Microarchitecture

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr.

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr. Chapter 4 Dr. Panos Nasiopoulos Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. Sequential: In addition, they include storage elements Combinational

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Introduction to Computer Engineering CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Chapter 3 Digital Logic Structures Slides based on set prepared by

More information

EC-121 Digital Logic Design

EC-121 Digital Logic Design EC-121 Digital Logic Design Lecture 2 [Updated on 02-04-18] Boolean Algebra and Logic Gates Dr Hashim Ali Spring 2018 Department of Computer Science and Engineering HITEC University Taxila!1 Overview What

More information

Digital Logic (2) Boolean Algebra

Digital Logic (2) Boolean Algebra Digital Logic (2) Boolean Algebra Boolean algebra is the mathematics of digital systems. It was developed in 1850 s by George Boole. We will use Boolean algebra to minimize logic expressions. Karnaugh

More information

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions?

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions? Digital Logic Appendix A Gates Combinatorial Circuits Sequential Circuits Other operations NAND A NAND B = NOT ( A ANDB) = AB NOR A NOR B = NOT ( A ORB) = A + B Truth tables What is the result of the operation

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

Week-I. Combinational Logic & Circuits

Week-I. Combinational Logic & Circuits Week-I Combinational Logic & Circuits Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other logic operators IC families and

More information

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan Combinational Logic Mantıksal Tasarım BBM23 section instructor: Ufuk Çelikcan Classification. Combinational no memory outputs depends on only the present inputs expressed by Boolean functions 2. Sequential

More information

CMPE12 - Notes chapter 2. Digital Logic. (Textbook Chapters and 2.1)"

CMPE12 - Notes chapter 2. Digital Logic. (Textbook Chapters and 2.1) CMPE12 - Notes chapter 2 Digital Logic (Textbook Chapters 3.1-3.5 and 2.1)" Truth table" The most basic representation of a logic function." Brute force representation listing the output for all possible

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Chapter 14 Sequential logic, Latches and Flip-Flops

Chapter 14 Sequential logic, Latches and Flip-Flops Chapter 14 Sequential logic, Latches and Flip-Flops Flops Lesson 4 JK Flip Flop Ch14L4-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 JK Flip-Flop ve edge triggered Output Q and

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Contents. Chapter 3 Combinational Circuits Page 1 of 36 Chapter 3 Combinational Circuits Page of 36 Contents Combinational Circuits...2 3. Analysis of Combinational Circuits...3 3.. Using a Truth Table...3 3..2 Using a Boolean Function...6 3.2 Synthesis of

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Combinational Logic. By : Ali Mustafa

Combinational Logic. By : Ali Mustafa Combinational Logic By : Ali Mustafa Contents Adder Subtractor Multiplier Comparator Decoder Encoder Multiplexer How to Analyze any combinational circuit like this? Analysis Procedure To obtain the output

More information

COMBINATIONAL LOGIC FUNCTIONS

COMBINATIONAL LOGIC FUNCTIONS COMBINATIONAL LOGIC FUNCTIONS Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends only on the present

More information

BOOLEAN ALGEBRA TRUTH TABLE

BOOLEAN ALGEBRA TRUTH TABLE BOOLEAN ALGEBRA TRUTH TABLE Truth table is a table which represents all the possible values of logical variables / statements along with all the possible results of the given combinations of values. Eg:

More information

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra Digital Logic Appendix A Gates Combinatorial Circuits Sequential Circuits George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 (piirisuunnittelu) Describe digital circuitry function programming

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information