Modeling of MEMS Fabrication Processes

Size: px
Start display at page:

Download "Modeling of MEMS Fabrication Processes"

Transcription

1 Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007

2 Spatial Variation in MEMS Processes Wafer Scale Chip Scale Feature Scale Many MEMS processes face uniformity challenges due to: Equipment limitations Layout or pattern dependencies Variations often highly systematic and thus can be modeled Models can help improve process to minimize variation Models can help improve design to compensate for variation 2

3 Non-uniformity problems in MEMS Plasma etch variation Silicon Silicon oxide Silicon Etch depth variation: imbalance in MIT microengine rotor ~10 mm turbine blades mask layout e.g. A.H. Epstein et al., Proc. Transducers 97 3

4 Non-uniformity problems in MEMS Embossing for microfluidics manufacture Si stamp Thermoplastic polymer Cover Surface nonuniformity: failure to seal Cover Channel depth nonuniformity from embossing polymer flow 4

5 Outline Background spatial variation in MEMS fabrication processes 1. Deep reactive ion etch (DRIE) 2. Polymer hot embossing Conclusions 5

6 1. Deep-Reactive Ion Etching (DRIE) Background: the DRIE process Sources of manufacturing nonuniformity Characterizing tool performance Semi-physical non-uniformity model Integrating the model into a design tool Extending the model 6

7 Inductively-coupled plasma in DRIE chamber cross-section vacuum chamber ~ mtorr gas inlet wafer plasma X ~ r.f. supply to excite plasma to wafer load lock chuck exhaust ~ independent control of ions acceleration towards wafer 7

8 Time-multiplexed Bosch processing flow rate 1. mask 2. SF 6 etch SF 6 C 4 F 8 3. C 4 F 8 passivation 4. SF 6 etch (6 11s) (10 15s) time SF 6 dissociates: SF e S xfy + S xfy + F + e Ion-assisted chemical etching: Si + nf SiF n Journal of The Electrochemical Society, 146 (1) (1999); Robert Bosch GmbH, Pat. 4,855,017 and 4,784,720 (USA) and C1 (Germany) (1994) 8

9 Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F X 9

10 Approach: Characterization using family of test wafer designs (a) Symmetrical loading (b) 5% average loading (c) 95% average loading 10

11 Observed wafer/chamber-scale variation 1% 5% 1 20% 81 position index 70% 95% pattern density test patterns H.K. Taylor et al., J. Electrochem. Soc., May

12 Observed pattern-dependent variation Average pattern density 5% throughout Localized to differing extents H.K. Taylor et al., J. Electrochem. Soc., May

13 Modeling basis: Ion-neutral synergism at silicon surface Models for etching rate Mogab (1977) 1 : etch rate varies inversely with loading Gottscho (1992) 2 : etch rate set by ion-neutral synergism R: etch rate : surface coverage ke i : activity constant for ions vs 0 : activity constant for radicals ion flux, ion J i R R 1 J i = Silicon 1 R kei Ji vs0 F Neutral neutral flux, J n silicon silicon J n adsorbed Adsorbed neutrals neutrals = k E J i i = vs ( ) 0 1 J n + 1 J n 1 J. Electrochem. Soc. 124 p1262 (1977). 2 J. Vac. Sci. Tech. B, 10, 2133 (1992) 13

14 Concentration equilibrium above wafer surface J i (x, y) lateral transport C(x, y) generation, recombination Consumption: J n (x, y) mask silicon C, C e : fluorine concentration G: fluorine generation rate ave : wafer-average pattern density Solving for concentration of F neutrals in steady state at (x, y): G ( x y) [ + ( 1 )] C ( x, y) ( x, y) e, 1 ave 2 ave e = C e ( x, y) = C G( x, y) [ + ( 1 )] 1 1 ave 2 ave + 0 Neglecting lateral transport rate constant selectivity loading /pattern density T.F. Hill, H. Sun, H.K. Taylor, and D.S. Boning, Proc. MEMS

15 Ion-neutral synergism plus Mogab model Ion-neutral synergism Equilibrium fluorine concentration 1 = 1 + R kei Ji vs0 1 J n C e ( x, y) = G( x, y) [ + ( 1 )] 1 1 ave 2 ave + J ( x, y) = uˆ C( x y) n z, R ( x, y) = [ kei J i ( x, y) ][ vs uˆ 0 zg( x, y) ] [ ke J ( x, y) ]{ [ + ( 1 )] + 1} + [ vs uˆ G( x, y) ] R i i ( x, y) = A 1 ave 2 ave A( x, y) B( x, y) ( x, y) { [ + ( 1 )] + 1} B( x y) 1 ave 2 ave +, 0 z T.F. Hill, H. Sun, H.K. Taylor, and D.S. Boning, Proc. MEMS

16 Tuning chamber model to uniform-pattern data Position on wafer A(x,y) B(x,y)

17 Non-uniformity at three length scales device/ die spatial variation wafer in cross-section feature-scale inter- and intradevice wafer/chamber-scale aspect ratiodependent etching (ARDE) competition for reactants; diffusion ion and radical flux distribution waferlevel loading F 17

18 Measurement points experience a local effective density 18

19 An integrated wafer- and die-scale model Around every location with non-average pattern density, there is a perturbation of F concentration 19

20 An integrated wafer- and die-scale model Assuming that the present 1 mm 2 location is the only one on the wafer with non-average pattern density, re-write concentration equilibrium, and, element-wise, obtain the map C isol (x,y): G ( x, y) { ( x, y) + [ 1 ( x, y) ]} C ( x, y) C ( x, y) C ( x, y) C ( x, y) 2D + 2 r0 isol e isol 1 2 isol Generation Consumption Recombination r ln r c 0 Lateral transport term 0 20

21 An integrated wafer- and die-scale model Map of surplus fluorine concentration defined as C isol (x, y) C e (x, y) Superpose these perturbations of concentration via discrete 2-D convolution of surplus concentration with diffusion filter, E Filter contains fovea to deal with microloading 21

22 Integrated model fits with error 0.8% 4.5% r.m.s. per wafer Substitute modified C(x,y) into wafer-level model, using maps A(x,y) and B(x,y) Obtain etch rate prediction R(x,y) 22

23 A two-level model, tuned for each tool + recipe characterization wafers characterization wafers A B filter magnitude radial distance + 2 scalar variables two-level model T.F. Hill et al., Proc. MEMS 05 + H.K. Taylor et al., accepted for publication, J. Electrochem. Soc. 23

24 Characterizing other tool-recipe combinations STS2 at MTL (25 mtorr) STS Pegasus (86 mtorr) Etch rate (μm/min) 24

25 Putting two-level model into action discretized mask design + scalar constants two-level model takes a few seconds to run drafting software refine mask design highlight problems on-screen 25

26 CAD tool for nonuniformity prediction Die-scale variation Chamber-scale variation Combined prediction Discretized mask design Ali Farahanchi 26

27 DRIE Modeling Contributions Understanding of uniformity s dependence on pattern density and localization Observed pattern interactions over ~30 mm Semi-physical model for non-uniformity caused by tool design pattern design Ability to predict non-uniformity on 1-mm lateral grid for any etched pattern 27

28 2. Polymer Hot Embossing Background Simulations of uniformity Characterization experiments for uniformity 28

29 Background: Hot Embossing Hot Embossing Goal: Formation of surface structures in polymer or other materials Microfluidics & other applications Key Issue: Embossing requires flow of displaced material: pattern dependencies 29

30 Hot Micro- and Nano-Embossing Glass-transition temperature temperature load time t load t hold To choose an optimal process, we need to assign values to Heat Time Our load and temperature are constrained by Equipment Stamp and substrate properties 30

31 PMMA in compression N.M. Ames, Ph.D. thesis, MIT,

32 PMMA in compression, 140 C using model of N.M. Ames, Ph.D. thesis, MIT,

33 PMMA in compression Compare this ratio, P/Q, to the Deborah number, t material /t load using model of N.M. Ames, Ph.D. thesis, MIT,

34 Starting point: linear-elastic material model E(T) Embossing done at high temperature, with low elastic modulus Deformation frozen in place by cooling before unloading Wish to compute deformation of a layer when embossed with an arbitrarily patterned stamp Take discretized representations of stamp and substrate 34

35 Response of material to unit pressure at one location General load response: 1 w( x, y) = E 2 p(, ) 2 ( x ) + ( y ) 2 d d w load radius, r Point load response wr = constant Response to unit pressure in a single element of the mesh: 1 = E 2 F i, j , [ f ( x, y ) f ( x, y ) f ( x, y ) f ( x y )] ( ) ( ) ( ) x, y = y ln x + x 2 + y 2 + x ln y + x 2 y 2 f + 1 F i,j defined here x 1,y 1 x 2,y 2 Unit pressure here 35

36 1-D verification of approach for PMMA at 130 C Iteratively find distribution of pressure consistent with stamp remaining rigid while polymer deforms Fit elastic modulus that is consistent with observed deformations Extracted Young s modulus ~ 5 MPa at 130 C 36

37 2-D linear-elastic model succeeds with PMMA at 125 C Si stamp 1 2 cavity Simulation protrusion 1 mm 15 μm Topography (micron) Lateral position (mm) Lateral position (mm) Thick, linear-elastic material model Experimental data 37

38 Linear-Elastic Model Succeeds at 125 C, p ave = 0.5 MPa stamp penetration w polymer p 38

39 Linear-Elastic Model Succeeds at 125 C, p ave = 1 MPa Features filled, 1MPa 39

40 Linear-elastic model succeeds below yielding at other temperatures 40

41 Extracted PMMA Young s moduli from 110 to 140 C 41

42 Material flows under an average pressure of 8 MPa at 110 C stamp polymer 42

43 Yielding at 110 C stamp penetration polymer w Simple estimates of strain rate: penetration w t hold to 10-1 during loading 10-4 to 10-3 during hold Local contact pressure at feature corners > 8 MPa N.M. Ames, Ph.D. Thesis, MIT,

44 Modeling combined elastic/plastic behavior Compressive stress Yield stress 0.4 Compressive strain Plastic flow Deborah number De = t material /t load, hold De << 1 De ~ 1 De >> 1 Consider plastic deformation instantaneous Consider flow to be measurable but not to modify the pressure distribution substantially during hold 44

45 Modeling combined elastic/plastic behavior Elastic: E(T) Plastic flow De << 1 De ~ 1 De >> 1 Plastic flow w + e yield ( ) ( ) ( ) ( ) ( ) x,y = p x,y f x,y + p x,y p A Bt f ( x,y) hold p Existing linear-elastic component Tuned to represent cases from capillary filling to non-slip Poiseuille flow f e Material compressed f p Volume conserved radius radius 45

46 Status and future directions polymer hot emboss modeling The merits of a linear-elastic embossing polymer model have been probed This simulation approach completes an 800x800-element simulation in: ~ 45 s (without filling) ~ 4 min (with some filling) Our computational approach can be extended to capture yielding and plastic flow Is a single pressure distribution solution sufficient to model visco-elasto-plastic behaviour? Abstract further: mesh elements containing many features 46

47 Conclusions Spatial variation a concern in MEMS fabrication processes Semi-empirical modeling approach developed: Physical model basis Process characterization for tool/layout dependencies Applications: Deep reactive ion etch (DRIE) Chemical-mechanical polishing (CMP) [not shown] Current focus: Polymer hot embossing 47

48 Acknowledgements Singapore-MIT Alliance (SMA) Surface Technology Systems Ltd. Hongwei Sun, Tyrone Hill, Ali Farahanchi (MIT) Nici Ames, Matthew Dirckx, David Hardt, and Lallit Anand (MIT); Yee Cheong Lam (NTU) Ciprian Iliescu and Bangtao Chen (Institute of Bioengineering and Nanotechnology, Singapore) 48

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers Hayden Taylor and Duane Boning Microsystems Technology Laboratories Massachusetts Institute

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Outline: droplet-dispensed NIL simulation

Outline: droplet-dispensed NIL simulation Defectivity prediction for droplet-dispensed UV nanoimprint lithography, enabled by fast simulation of resin flow at feature, droplet and template scales Hayden Taylor Department of Mechanical Engineering

More information

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Towards nanoimprint lithography-aware layout design checking 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Nanoimprint is the mechanical patterning of resist spun

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Latifa Louriki 1, *, Peter Staffeld 1, Arnd Kaelberer 1 and Thomas Otto 2 1 Robert Bosch GmbH, Reutlingen D-72762,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Microsystems Technology Laboratories (MTL) lfvelasq@mit.edu November

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 13: Material

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Outline Chemical Microsystems Applications Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Fundamentals of Micromachining Dr. Bruce Gale With Special

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

NSC E

NSC E NSC 892218E006071 1 Preparation of NSC Project Reports microfluidic channels are fabricated on quartz substrates and then used to imprint microstructures into Polymethylmethacrylate (PMMA) substrates using

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

DESIGN OF A NANO-GAS TURBINE

DESIGN OF A NANO-GAS TURBINE UNIVERSITY OF ROME LA SAPIENZA Department of Mechanics and Aeronautics DESIGN OF A NANO-GAS TURBINE Thermal and Structural Analysis Pace Francesco Why nanoturbine? Displacement of human activities Increased

More information

Proceedings MEMS Inertial Switch for Military Applications

Proceedings MEMS Inertial Switch for Military Applications Proceedings MEMS Inertial Switch for Military Applications Hyo-Nam Lee 1, Seung-Gyo Jang 1, *, Sungryeol Lee 2, Jeong-Sun Lee 2 and Young-Suk Hwang 2 1 Agency for Defence Development, Daejeon, Korea; lhn4577@add.re.kr

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary Abstract MEMS based gyroscopes have gained in popularity for use as rotation rate sensors in commercial products like

More information

Lecture 18: Microfluidic MEMS, Applications

Lecture 18: Microfluidic MEMS, Applications MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 18: Microfluidic MEMS, Applications 1 Overview Microfluidic Electrokinetic Flow Basic Microfluidic

More information

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS Proceedings of the International Conference on Mechanical Engineering 2009 (ICME2009) 26-28 December 2009, Dhaka, Bangladesh ICME09- A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Micro/nano and precision manufacturing technologies and applications

Micro/nano and precision manufacturing technologies and applications The 4th China-American Frontiers of Engineering Symposium Micro/nano and precision manufacturing technologies and applications Dazhi Wang School of Mechanical Engineering Dalian University of Technology

More information

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu*

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu* International Conference on Information Sciences, Machinery, Materials and Energy (ICISMME 2015) Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping,

More information

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by Foundations of MEMS Second Edition Chang Liu McCormick School of Engineering and Applied Science Northwestern University International Edition Contributions by Vaishali B. Mungurwadi B. V. Bhoomaraddi

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

Effect of embedment depth and stress anisotropy on expansion and contraction of cylindrical cavities

Effect of embedment depth and stress anisotropy on expansion and contraction of cylindrical cavities Effect of embedment depth and stress anisotropy on expansion and contraction of cylindrical cavities Hany El Naggar, Ph.D., P. Eng. and M. Hesham El Naggar, Ph.D., P. Eng. Department of Civil Engineering

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT 2004 AIMETA International Tribology Conference, September 14-17, 2004, Rome, Italy UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT Yuri KLIGERMAN( ), Yuri Kadin( ), Izhak ETSION( ) Faculty of

More information

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS Christian Hollauer, Hajdin Ceric, and Siegfried Selberherr Institute for Microelectronics, Technical University Vienna Gußhausstraße

More information

Prediction of geometric dimensions for cold forgings using the finite element method

Prediction of geometric dimensions for cold forgings using the finite element method Journal of Materials Processing Technology 189 (2007) 459 465 Prediction of geometric dimensions for cold forgings using the finite element method B.Y. Jun a, S.M. Kang b, M.C. Lee c, R.H. Park b, M.S.

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis

Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis Materials Science-Poland, Vol. 28, No. 3, 2010 Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis A. WYMYSŁOWSKI 1*, Ł. DOWHAŃ 1, O.

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

Back Calculation of Rock Mass Modulus using Finite Element Code (COMSOL)

Back Calculation of Rock Mass Modulus using Finite Element Code (COMSOL) Back Calculation of Rock Mass Modulus using Finite Element Code (COMSOL) Amirreza Ghasemi 1. Introduction Deformability is recognized as one of the most important parameters governing the behavior of rock

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

C.J. Bennett, W. Sun Department of Mechanical, Materials and Manufacturing Engineering, University of Nottingham, Nottingham NG7 2RD, UK

C.J. Bennett, W. Sun Department of Mechanical, Materials and Manufacturing Engineering, University of Nottingham, Nottingham NG7 2RD, UK Optimisation of material properties for the modelling of large deformation manufacturing processes using a finite element model of the Gleeble compression test C.J. Bennett, W. Sun Department of Mechanical,

More information

A study of forming pressure in the tube-hydroforming process

A study of forming pressure in the tube-hydroforming process Journal of Materials Processing Technology 192 19 (2007) 404 409 A study of forming pressure in the tube-hydroforming process Fuh-Kuo Chen, Shao-Jun Wang, Ray-Hau Lin Department of Mechanical Engineering,

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Mechanics of wafer bonding: Effect of clamping

Mechanics of wafer bonding: Effect of clamping JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 1 1 JANUARY 2004 Mechanics of wafer bonding: Effect of clamping K. T. Turner a) Massachusetts Institute of Technology, Cambridge, Massachusetts 0219 M. D. Thouless

More information

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané Stresa, Italy, 5-7 April 007 MODELING OF T-SHAPED MICROCANTILEVER RESONATORS Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Centro Nacional de Microelectrónica

More information

Control of Manufacturing Process

Control of Manufacturing Process Control of Manufacturing Process Subject 2.830 Spring 2004 Lecture #2 Process Modeling for Control February 5, 2004 Key Topics Process Taxonomy for Control Classifying the Universe of Processes Control

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct Supplementary Information Rapid Stencil Mask Fabrication Enabled One-Step Polymer-Free Graphene Patterning and Direct Transfer for Flexible Graphene Devices Keong Yong 1,, Ali Ashraf 1,, Pilgyu Kang 1,

More information

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Sensor devices Outline 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Introduction Two Major classes of mechanical

More information

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Heiko Fettig, PhD James Wylde, PhD Nortel Networks - Optical Components Ottawa ON K2H 8E9 Canada Abstract This paper examines the modelling of

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Effect of Strain Hardening on Unloading of a Deformable Sphere Loaded against a Rigid Flat A Finite Element Study

Effect of Strain Hardening on Unloading of a Deformable Sphere Loaded against a Rigid Flat A Finite Element Study Effect of Strain Hardening on Unloading of a Deformable Sphere Loaded against a Rigid Flat A Finite Element Study Biplab Chatterjee, Prasanta Sahoo 1 Department of Mechanical Engineering, Jadavpur University

More information

Analysis of flow characteristics of a cam rotor pump

Analysis of flow characteristics of a cam rotor pump IOP Conference Series: Materials Science and Engineering OPEN ACCESS Analysis of flow characteristics of a cam rotor pump To cite this article: Y Y Liu et al 2013 IOP Conf. Ser.: Mater. Sci. Eng. 52 032023

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Example-3. Title. Description. Cylindrical Hole in an Infinite Mohr-Coulomb Medium

Example-3. Title. Description. Cylindrical Hole in an Infinite Mohr-Coulomb Medium Example-3 Title Cylindrical Hole in an Infinite Mohr-Coulomb Medium Description The problem concerns the determination of stresses and displacements for the case of a cylindrical hole in an infinite elasto-plastic

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Optimization of blank dimensions to reduce springback in the flexforming process

Optimization of blank dimensions to reduce springback in the flexforming process Journal of Materials Processing Technology 146 (2004) 28 34 Optimization of blank dimensions to reduce springback in the flexforming process Hariharasudhan Palaniswamy, Gracious Ngaile, Taylan Altan ERC

More information

The plastic behaviour of silicon subjected to micro-indentation

The plastic behaviour of silicon subjected to micro-indentation JOURNAL OF MATERIALS SCIENCE 31 (1996) 5671-5676 The plastic behaviour of silicon subjected to micro-indentation L. ZHANG, M. MAHDI Centre for Advanced Materials Technology, Department of Mechanical and

More information

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing ScieTech 014 Journal of Physics: Conference Series 495 (014) 01045 doi:10.1088/174-6596/495/1/01045 Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing H. F. Hawari, Y. Wahab,

More information

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design Tamkang Journal of Science and Engineering, Vol. 12, No. 4, pp. 399 407 (2009) 399 Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Effects of TGO Roughness on Indentation Response of Thermal Barrier Coatings

Effects of TGO Roughness on Indentation Response of Thermal Barrier Coatings Copyright 2010 Tech Science Press CMC, vol.17, no.1, pp.41-57, 2010 Effects of Roughness on Indentation Response of Thermal Barrier Coatings Taotao Hu 1 and Shengping Shen 1,2 Abstract: In this paper,

More information

b. The displacement of the mass due to a constant acceleration a is x=

b. The displacement of the mass due to a constant acceleration a is x= EE147/247A Final, Fall 2013 Page 1 /35 2 /55 NO CALCULATORS, CELL PHONES, or other electronics allowed. Show your work, and put final answers in the boxes provided. Use proper units in all answers. 1.

More information

Modelling the nonlinear shear stress-strain response of glass fibrereinforced composites. Part II: Model development and finite element simulations

Modelling the nonlinear shear stress-strain response of glass fibrereinforced composites. Part II: Model development and finite element simulations Modelling the nonlinear shear stress-strain response of glass fibrereinforced composites. Part II: Model development and finite element simulations W. Van Paepegem *, I. De Baere and J. Degrieck Ghent

More information

Ratcheting deformation in thin film structures

Ratcheting deformation in thin film structures Ratcheting deformation in thin film structures Z. SUO Princeton University Work with MIN HUANG, Rui Huang, Jim Liang, Jean Prevost Princeton University Q. MA, H. Fujimoto, J. He Intel Corporation Interconnect

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

STUDIES ON NANO-INDENTATION OF POLYMERIC THIN FILMS USING FINITE ELEMENT METHODS

STUDIES ON NANO-INDENTATION OF POLYMERIC THIN FILMS USING FINITE ELEMENT METHODS STUDIES ON NANO-INDENTATION OF POLYMERIC THIN FILMS USING FINITE ELEMENT METHODS Shen Xiaojun, Yi Sung, Lallit Anand Singapore-MIT Alliance E4-04-0, 4 Engineering Drive 3, Singapore 7576 Zeng Kaiyang Institute

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Computational models of diamond anvil cell compression

Computational models of diamond anvil cell compression UDC 519.6 Computational models of diamond anvil cell compression A. I. Kondrat yev Independent Researcher, 5944 St. Alban Road, Pensacola, Florida 32503, USA Abstract. Diamond anvil cells (DAC) are extensively

More information

Thermo Mechanical Analysis of AV1 Diesel Engine Piston using FEM

Thermo Mechanical Analysis of AV1 Diesel Engine Piston using FEM Journal of Advanced Engineering Research ISSN: 2393-8447 Volume 2, Issue 1, 2015, pp.23-28 Thermo Mechanical Analysis of AV1 Diesel Engine Piston using FEM Subodh Kumar Sharma 1, *, P. K. Saini 2, N. K.

More information

Chapter 5: Ball Grid Array (BGA)

Chapter 5: Ball Grid Array (BGA) Chapter 5: Ball Grid Array (BGA) 5.1 Development of the Models The following sequence of pictures explains schematically how the FE-model of the Ball Grid Array (BGA) was developed. Initially a single

More information

A continuum theory of amorphous solids undergoing large deformations, with application to polymeric glasses

A continuum theory of amorphous solids undergoing large deformations, with application to polymeric glasses A continuum theory of amorphous solids undergoing large deformations, with application to polymeric glasses Lallit Anand Department of Mechanical Engineering Massachusetts Institute of Technology Cambridge,

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4 Issued: Wednesday, Mar. 5, 2014 PROBLEM SET #4 Due (at 9 a.m.): Tuesday Mar. 18, 2014, in the EE C247B HW box near 125 Cory. 1. Suppose you would like to fabricate the suspended cross beam structure below

More information

A multiscale framework for lubrication analysis of bearings with textured surface

A multiscale framework for lubrication analysis of bearings with textured surface A multiscale framework for lubrication analysis of bearings with textured surface *Leiming Gao 1), Gregory de Boer 2) and Rob Hewson 3) 1), 3) Aeronautics Department, Imperial College London, London, SW7

More information

FINITE ELEMENT ANALYSIS OF IMPACT AND PENETRATION OF POLYCARBONATE PLATE BY A RIGID SPHERICAL PROJECTILE

FINITE ELEMENT ANALYSIS OF IMPACT AND PENETRATION OF POLYCARBONATE PLATE BY A RIGID SPHERICAL PROJECTILE FINITE ELEMENT ANALYSIS OF IMPACT AND PENETRATION OF POLYCARBONATE PLATE BY A RIGID SPHERICAL PROJECTILE C.T. Tsai Department of Mechanical Engineering Florida Atlantic University Boca Raton, FL 33431,

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Modeling, Simulation and Optimization of the Mechanical Response of Micromechanical Silicon Cantilever: Application to Piezoresistive Force Sensor

Modeling, Simulation and Optimization of the Mechanical Response of Micromechanical Silicon Cantilever: Application to Piezoresistive Force Sensor Available online at www.sciencedirect.com ScienceDirect Physics Procedia 55 (2014 ) 348 355 Eight International Conference on Material Sciences (CSM8-ISM5) Modeling, Simulation and Optimization of the

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

Analysis of contact deformation between a coated flat plate and a sphere and its practical application Computer Methods and Experimental Measurements for Surface Effects and Contact Mechanics VII 307 Analysis of contact deformation between a coated flat plate and a sphere and its practical application T.

More information