Activation energies for vacancy migration, clustering and annealing in silicon

Size: px
Start display at page:

Download "Activation energies for vacancy migration, clustering and annealing in silicon"

Transcription

1 Journal of Physics: Conference Series Activation energies for vacancy migration, clustering and annealing in silicon To cite this article: P G Coleman 211 J. Phys.: Conf. Ser View the article online for updates and enhancements. Related content - At-temperature annealing of near-surface defects P G Coleman, F Malik and A P Knights - Vacancy-type defects created by singleshot and chain ion implantation of silicon P G Coleman, C J Edwardson, A P Knights et al. - Ice and Atoms: experiments with laboratory-based positron beams P G Coleman This content was downloaded from IP address on 9/3/219 at 15:39

2 Activation energies for vacancy migration, clustering and annealing in silicon P G Coleman Department of Physics, University of Bath, Bath BA2 7AY, UK p.g.coleman@bath.ac.uk Abstract. A series of measurements have been performed at the University of Bath to study the evolution of vacancy-type structures in silicon. Isothermal annealing performed during positron beam-based Doppler broadening measurements have yielded activation energies for vacancy cluster formation and evaporation in silicon of approximately 2.5 and 3.7 ev, respectively. The clusters, which could predominantly be the stable hexavacancy, appear to form between 4-5ºC, and anneal at ~ 6ºC. A similar technique applied to lowtemperature in situ measurements have yielded the migration energies for the silicon monovacancy and interstitial (of ~.5 and.8 ev, respectively). Interesting observations of positronium formation at the surface of the samples studied during isothermal annealing are presented. 1. Introduction For many years researchers including many using positron beam spectroscopy - have studied the thermal evolution of vacancy-type defects in ion-implanted silicon [1-3]. There appears to be a consensus (a) that the defects left in room-temperature silicon are predominantly divacancies (V 2 ), (b) that at 2-3ºC V 2 become mobile and migrate to sinks or if their concentration is high enough agglomerate to form small clusters, (c) that at 4-5ºC even larger clusters are formed, and (d) that at 6ºC these large clusters are annealed. There have been observed differences between these behaviours in different types of silicon (e.g., float zone (FZ), Czochralski (Cz), highly-doped [4,5]), and some debate concerning the various stages of evolution, especially stage (b) where positron annihilation spectroscopies (both lifetime and Doppler broadening) have in some studies implied that the V 2 -like response has survived to temperatures well above that accepted for V 2 migration, while other techniques applied in parallel have indicated their disappearance [6]. There is also the question of possible differences between the evolution of V 2 in the bulk and near the surface of silicon, and the dependence on V 2 concentration. Although lifetime spectroscopy is held to yield greater insights into the sizes and relative concentrations of open-volume point defects in silicon, we shall consider in this paper the application of single-detector Doppler broadening spectroscopy (formally called variable-energy positron annihilation spectroscopy, VEPAS, and sometimes variable-energy Doppler broadening of annihilation radiation, VEDBAR) to identify various small vacancy clusters and, as it continues to provide the fastest method for following changes in defect structure during, for example, annealing, to deduce activation energies for various processes involved in vacancy evolution. Published under licence by Ltd 1

3 2. Experimental details All the measurements described here were performed on the magnetic-transport positron beam at the University of Bath, full details of which can be found elsewhere [7]. Positrons from a 22 Na source are moderated by annealed tungsten meshes and transported magnetically to the sample, mounted on.1 mm-diameter tungsten wires, via an E B velocity filter through which unmoderated positrons are not transmitted and an accelerator section which determines the final incident positron energy. Because the electrostatic accelerating field (potential difference V) and guiding magnetic field are not perfectly aligned the positron beam moves laterally as V is changed; the beam is brought back to the same position at the sample target by two orthogonal trim coils. The beam is positioned using a small washer-shaped dummy sample and observing the image created by those positrons which pass by the target and proceed along a beam dump line to a dual electron multiplier array mounted in front of a phosphor screen. The energy spectra of annihilation gamma photons from the sample are measured by a Ge detector, and the Doppler-broadened linewidth parameters S and W [8] are measured for each incident positron energy. Any positron which misses the sample for example, if the sample is slightly smaller than the 8mm-diameter beam - decay on at the end of the beam dump, out of sight of the gamma detector. The probability of detection of annihilation photons from backscattered positrons is minimized by the use of a large sample chamber. Beam energy and position, together with all aspects of data collection, are computer-controlled. Individual run times are chosen so that ~ 1 6 events are recorded in each annihilation line, and are ~1 3 s. All Si samples (except those discussed in section 4.2) were ion-implanted at room temperature at the Surrey Ion Beam Centre (Nodus Laboratory, under the direction of Professor Russell Gwilliam). Typical sample sizes were ~ 1 1mm, although sizes ranging from 5 5 to 2 2mm have been used. 3. Isochronal annealing studies Almost all previous work using positrons has been in this category. With the exception of Heimplanted Si, where the ramp annealing rate is important in void growth mechanisms [9], samples are usually held at the chosen temperature for a set time and the ramp rate is not considered important. In the first example we consider FZ Si samples implanted with 5 kev Si + ions at a dose of cm -2 and annealed for 3s at temperatures between 25 and 85ºC. The raw data (S parameter vs incident positron energy E) are shown in Fig. 1 [1], normalised to the value for bulk Si. The peak in S(E) represents the non-saturated VEPAS response to divacancies (which have a characteristic S value of ~). The data show that there is no measurable change in VEPAS response for samples annealed to 45ºC, with a rapid change at 55ºC and essentially complete annealing at 65ºC and above. This result was confirmed by in-situ annealing experiments by measuring the fraction of implanted positrons able to diffuse to the surface as the temperature was raised (via measurement of the amount of positronium formed at the surface). The result is surprising at first sight because V 2 should be as-imp., o C S PARAMETER o C 65, 75 o C, unimp INCIDENT POSITRON ENERGY (kev) Figure 1. S(E) for FZ Si implanted with 5 kev Si + ions at cm -2 and annealed at temperatures from 25 to 75ºC [1]. 2

4 mobile at ~ 3ºC and annealing should therefore progress at this temperature. As for clustering (agglomeration), one might still expect to see a change in S(E) as this progresses, for the following reasons. The conventional model describing VEPAS response to clustering states that (a) the S value characteristic of V n (a cluster of n vacancies) increases with n, and the specific positron trapping rate n for V n is ~ n 1, where s -1. Let us consider here the dependence of S on n for Si. Here we attempt to apply the dependence on n of the positron lifetime results of Staab et al [11], assuming an asymptotic value of 499 ps (the spin-averaged lifetime of positronium) with the calculations of S by Hakala et al [12] convoluted with our experimental detector resolution. This is reasonably straightforward for low n, but extrapolation to large clusters is difficult and the fit in figure 2 should be taken with caution. The asymptotic value of S at large n here is suggested to be 1.13, close to the largest values measured directly (see, for example, ref [8]); however, a value somewhat higher than this may be possible S PARAMETER FOR V n NUMBER OF VACANCIES IN CLUSTER (n) Figure 2. S parameter characteristic of vacancy clusters V n vs n. Black circles: convoluted calculations of Hakala et al [12]. Open circles: normalised positron lifetime results of Staab et al [11]. Asymptotic S value (large n) = The total positron trapping rate is C, where C is the defect concentration per atom. Therefore, if all the V 2 agglomerate into clusters V n, the trapping rate is n C n = (n/2) 2 (2/n)C 2 = 2 C 2 i.e., it remains unchanged; however, S n is larger than S 2 and so the measured S value should increase. This is the case even if the simple proportionality between n and n is too crude an approximation. Let us consider four possible models which might explain why this is not the case. (a) Only a fraction of the V 2 cluster and the rest diffuse to sinks (particularly the surface). For the measured S value to remain unchanged then the increase in S n resulting from clustering would have to be balanced exactly by the decrease in C. This is possible but an exact balance is nevertheless unlikely. (b) The V 2 are pinned by impurities and become mobile at higher temperatures than the 3ºC expected. This model is also not very convincing because we are using FZ Si and the impurity concentrations are expected to be too low to pin all the V 2. (c) The V 2 do cluster, say to V 4, but the S value does not change measurably; this is the scenario invoke by Poirier et al. [6] to explain a similar observation after measuring no significant change in positron lifetime on annealing. This is contrary to the S(n) dependence suggested by figure 2; if it were to be the case then the clusters would have to have a structure different from that assumed in the calculations shown in the figure as suggested by Poirier et al. - for example, a linear structure whose S value was similar to that for V 2. Another possibility, earlier proposed by Poirier et al [13] is that V 2 for virtual clusters i.e., they form loose connections without close bonding, so that they become less mobile but positrons are still trapped in a defect of the size of V 2 and thus the annihilation parameters remain unchanged. (d) The initial defects are not V 2 but are already an array of small clusters which are not mobile below 5ºC. While possible, this is 3

5 unlikely as there have been a large number of positron measurements indicating that the defects remaining in ion-implanted Si at room temperature are overwhelmingly V 2. In order to remove the possible complications associated with the close proximity of the surface, measurements on 4 MeV Si-implanted FZ Si have been performed [14]. Here the peak of the damage created by the ion implantation is close to 2.5 m below the surface. Figures 3 and 4 show S(E) for implant doses between 1 12 and 1 14 cm -2 before an after 3 mins one-shot annealing to 6ºC, respectively. Comparison of the two figures leads to the conclusion that the V 2 formed at depths from the surface to ~2 m are annealed below 6ºC, but that between 2-3 m a layer of small clusters is formed which survives to higher temperatures. By fitting the data shown in figure 3, and those obtained by employing an etch-and-measure technique to bring the damaged layer progressively towards the surface, with the standard code VEPFIT [15], the average size of the defects in this buried layer is suggested to be 3.5 i.e., most likely dominated by tetravacancies. It was also found that progressive annealing up to 6ºC removed all VEPAS response to vacancy-type damage, confirming that cluster formation is critically dependent upon annealing history. MEAN PROBED DEPTH ( m) MEAN PROBED DEPTH ( m) LINESHAPE PARAMETER (S) e14 3e13 1e13 3e12 1e12 virgin INCIDENT POSITRON ENERGY (kev) LINESHAPE PARAMETER (S) e14 6e13 3e13 6e12 1e12 virgin INCIDENT POSITRON ENERGY (kev) Figure 3. S(E) for 4MeV Si ions in Si at doses from cm -2 (1e12-1e14) [14]. Figure 4. As figure 3, after one-shot annealing for 3 mins at 6ºC [14]. The explanation for the formation of small clusters in the 2-3 m region is most likely linked to the initial distribution of vacancies following implantation. A simulation for 4 MeV Si + in Si using the code SRIM [16] is shown in figure 5. The concentration of vacancies in the 2-3 m region is almost an order of magnitude higher than in the tail stretching to the surface; it is therefore considerably more likely that V 2 in the former region find each other on migrating at high temperatures than in the latter VACS OR IONS / UNIT DEPTH (arb. units) DEPTH ( m) Figure 5. SRIM simulation of vacancy damage in Si implanted with 4MeV Si + ions. 4

6 which, additionally, is closer to the surface sink. On extracting a defect concentration in the buried layer from data fitting, one obtains a super-linear dependence on ion dose which is consistent with the probability of agglomeration depending on the relative magnitudes of the mean divacancy separation and the diffusion length of the migrating V Isothermal annealing studies 4.1. Divacancy evolution The first isothermal annealing studies in the author s laboratory aimed at extracting more information on the thermal evolution of vacancy defects in Si were performed on 2 MeV Si-implanted Cz Si [17]. A high dose of 1 15 cm -2 ensured that the V 2 concentration was high enough to lead to agglomeration, and the 2 MeV ion energy meant that the damage extended to depths where positron (and V 2 ) diffusion POSITRON MEAN DEPTH ( m) NORMALISED S PARAMETER as-implanted 6 min 9 min 12 min 15 min 3 min INCIDENT POSITRON ENERGY (kev) Figure 6. Normalized S(E) energy for Cz Si implanted with 2 MeV, 1 15 Si + cm -2 after annealing at 6 o C for different time intervals. The solid lines are fits using VEPFIT. Adapted from [17]. { S/S B V 6 V 2 BULK Si.9.95 W/W B 1.5 Figure 7. S-W plots for samples implanted with 2 MeV Si ions at 1 15 cm -2 dose after annealing at 6 o C for 3 min. The circles are the dominant annihilation sites for positrons. Adapted from ref [17]. to the surface might not present significant interpretational problems when analyzing the data. Examples of the data taken are shown in figure 6. S(E) for the as-implanted sample (crosses in figure 6) show a broad peak with a maximum response of ~ 1.3 at E ~ 15 kev (equivalent to a mean positron implantation depth of ~ 1.3 m). On annealing at 6ºC for times up to 3 mins S increases remarkably at all E, pointing to the formation of clusters, particularly at depths ~ 75 nm, where there is a broad peak in the response. On annealing for longer times the response decreases and the shape of S(E) becomes progressively more peaked at ~ 1 kev ( ~ 75 nm), a response which survives annealing for 2h. Data such as those in figure 6 are routinely fitted with the code VEPFIT, which gives values for S and for the positron diffusion length (L) in each layer (the S value would only be measured if at some energy E all the positrons were annihilated in the layer; this is not generally the case). A graphical equivalent of VEPFIT is the parameter-parameter map illustrated by the S-W plot in figure 7. Here the parameters S and W for every value of E are plotted against each other. On such a graph can be plotted points which are characteristic of each layer and additionally of each pure annihilation state (sample surface, bulk Si, V 2, etc). In figure 7 the surface state is in the bottom right-hand corner of the 5

7 graph, and the S,W points for bulk Si and annihilations in V 2 are represented by circles. If the linear trends suggested by the data points are extrapolated as shown in figure 7, then they meet at the S,W point characteristic of a defected layer, even if experimentally positrons do not all suffer annihilation in the layer. In this case the straight lines meet at S ~ 1.75, which is the value predicted in figure 2 for the hexavacancy V 6. The data are thus consistent with saturation trapping of those positrons which are annihilated in the layer centred at ~ 75 nm in defects of the size of V 6. Let us now turn to the time dependence of the evolution seen in figure 6. In these experiments the concentration of defects (C D ) was first deduced by remembering that the S value for a layer in which defect trapping sites exist with a characteristic S = S D is a linear combination of S D and S B, where S B is the S value for bulk Si, which is normalised to unity here: S = f S D + (1 f). f, the fraction of positrons annihilated in the layer from the trapped state, is linked to C D via f = C D /( + C D ), where is the specific trapping rate for the defects in question and is the positron annihilation rate in bulk defectfree Si. By inserting this expression for f into that for S one arrives at C D = (S - 1)/[ (S D - S)]. (1) At a given temperature T one can now measure the time t 1/2 taken for C D to decrease to 5% of its room-temperature value. If we assume that at a temperature T C D decreases as C D = C exp(-e A /k B T), (2) where C is the defect concentration at time zero and E A is the activation energy for the annealing process, then the slope of an Arrhenius plot of ln(t 1/2 ) vs (1/T) should yield E A. In the case of the data shown in figure 6 (T = 873K), t 1/2 39 min (see figure 8). C D (1 17 cm -3 ) 7x1 7 6x1 6 5x1 5 4x1 4 3x1 3 2x1 2 1x1 1 Co Co/2 t 1/ ANNEALING TIME (min) Figure 8. Defect concentration C D (cm -3 ) deduced from the data in figure 6 vs annealing time at 6ºC. The vacancies are assumed to be all V 6 [17]. Unfortunately, there were not enough data points to enable the unambiguous extraction of E A for the annealing of the vacancy clusters (assumed to be V 6 ) formed in this experiment. An upper limit of 7.5 min could be assigned to annealing at 7ºC, and the annealing at 65ºC was complicated by the possible presence of a mixture of V 6 and smaller clusters, so that the analysis could only suggest a minimum value for E A of 1.2 ev. This is considerable lower than the figure of ~ 3.5 ev postulated for the binding of V to V 6 [11,18-2] and of the same order as E A for V 2 migration [21]. A further series of experiments was therefore performed to endeavour to resolve these problems. A set of samples of Cz and epitaxially-grown Si were implanted with 5 kev Si + ions at a dose of cm -2. The samples were then isothermally annealed in situ (i.e., on the sample holder at the target position in the positron beam system) at temperatures between 3 and 64ºC for times of up to ~ 1 h, and the value of S at a positron energy equivalent to a probed depth similar to that predicted by SRIM to be the depth at which the vacancy-type damage was a maximum (i.e. 3.5 kev, equivalent 6

8 to 12 nm) recorded every 6s (reduced to 3s at the highest temperatures) [22]. The S(E) plots shown in figure 9 were taken after S(3.5 kev) had stopped changing in the epi-si samples held at 47 and 58ºC; the samples were cooled to room temperature before the data were recorded. Note that the samples were in this case not HF-etched to remove surface oxide, to increase the absolute size of the change in S; as a test, other measurements were taken after etching and no effect on the final results was noted. Examples of S(3.5 kev) vs time plots are shown in figure 1. Data were also taken at E = 1 and 24 POSITRON MEAN DEPTH ( m) NORMALISED S PARAMETER AS-IMPLANTED.98 AFTER 47ºC.96 AFTER 58ºC INCIDENT POSITRON ENERGY (kev) Figure 9. S(E) for epi-si implanted with 5keV Si + ions at a dose of cm -2, asimplanted and after annealing at 47 and 58ºC. Adapted from [23]. kev to check for physical changes near the surface and systematic changes (e.g. in the detector/amplifier performance). The three plots in figure 1 illustrate the three temperature regions of interest. At temperatures below ~ 4ºC essentially no change is seen in the value of S, in line with earlier observations. Between ~ 4 and 5 ºC S falls to an intermediate level (here coincidentally ~1 see figure 9). Between ~ 5-6 ºC the defects are annealed away and the positron response falls to that for unimplanted Si (at 3.5 kev this is ~.97 here). The fall in S at higher temperatures reflects the change in the defect structure; at 47ºC in figure 1, NORMALISED S PARAMETER.98 35ºC 47ºC 6ºC ANNEALING TIME (h) Figure 1. S(3.5 kev) vs annealing time for implanted epi Si at 35, 47 and 6ºC. The solid lines through the points in are exponential fits. S values are normalised to that for bulk Si (i.e., unity as shown in the graphs). Adapted from [23]. 7

9 for example, the peak S falls to an equilibrium value characteristic of an intermediate state. The fact that S falls (i.e., as opposed to rises) indicates that any changes have involved a decrease in the trapping rate, or a sublinear increase in defect S value with defect size, or the migration of some V n to sinks, or a combination of these processes. In principle it does not matter whether S increases or decreases; it is the ability to extract a time rate of change of S which is important here. Thus, if plots of S(t) such as those shown in figure 1 are fitted to an exponential S exp(- t), the decay constant represents the change which is occurring, and can be used in Arrhenius plots to evaluate the activation energy for the process. Figure 11 shows such plots for the low and high temperature regions (4-5ºC and 56-64ºC) for both Cz Si and epi-si ln /T (1-3 K -1 ) Figure 11. Arrhenius plots for epi and Cz-Si samples. The slopes of the fitted lines give activation energies for two vacancy evolution processes (lower temperatures, triangles and higher temperatures, circles). Solid symbols epi-si: open symbols Cz Si. From [23]. The activation energies E A obtained the gradients of the lines fitted to the data in figure 11 are 2.1 (2) and 2.7 (7) ev for the low-temperature process, and 3.9 (3) and 3.6 (3) ev for the high-temperature process, the first values being for epi-si and the second for Cz Si Monovacancy evolution Studying monovacancies (V 1 ) in Si with VEPAS presents the practical problem that V 1 are mobile below room temperature, which is the reason why room-temperature samples contain predominantly V 2 (with the possibility of small clusters for samples implanted with ions at high doses). In order to observe the formation and annealing of V 1 the Si sample was mounted in the Bath positron beam on a copper cold finger attached to the head of a closed-cycle helium cryostat [23]. The 25mm-diameter copper rod was broken by a.3mm-thick sapphire disk, affording good thermal and poor electrical conductivity. The Si sample was cooled to below 2K and was held at -2kV while low-density He gas was admitted to the sample chamber; He ions were accelerated into the sample from the ensuing discharge. A measure of S(E) after implantation showed a peak between 2 and 4 kev (mean depth ~ 13 nm, consistent with 2 kev He implantation), close to the saturation value of 7 (assigned to V 1 ). Two types of Si low-impurity FZ Si and highly As-doped (n + ) Si were studied in these experiments [24]. S(3.5 kev) was, as in the V 2 experiments in subsection 4.1, monitored as a function of time for periods of up to 6 days at a range of constant temperatures from 2K to over 3K. Figure 12 shows examples of raw data for FZ and n + Si. In n + Si two processes in different temperature ranges were observed directly, whereas in FZ Si both processes could proceed at the same temperature. The Arrhenius plots obtained by plotted the natural logarithm of the decay constant representing the time rate of change in S (shown to be proportional to that for the change in defect concentration) are shown in figure 13, therefore consist of two straight lines for n + Si and a nonlinear plot for FZ 8

10 which tends to one slope at low temperatures and a higher slope at higher temperatures, as the relative probability of the two processes changes. Note that the uncertainties attached to the measurements of the first stage of annealing of defects in n + Si were too high for data to be included in figure 13. The % CHANGE IN S K 22 K FZ Si n + Si 263 K 337 K ANNEALING TIME (h) Figure 12. Percentage difference between S parameter at E = 3.5 kev for low-temperature Heimplanted FZ and n + Si and its asymptotic value as a function of time at four temperatures. Adapted from [24]. 4 3 n + Si FZ Si ln S /T (K -1 ) Figure 13. Arrhenius plots of ln S vs 1/T for low-temperature He-implanted FZ and n + Si, where S is the decay constant from exponential fits of data such as those in figure 12, and T is the absolute temperature in K [24]. activation energies derived from the slopes of the fit lines in figure 13 were.78(7) and.46 (28) ev for FZ Si, and.59 (6) ev for n + Si. Let us now proceed to discuss the activation energy results in the following section. 5. Activation energies The Arrhenius plots in figures 11 and 13 yield activation energies for processes involving the evolution of vacancy-type defect structures in different types of silicon and over different temperature ranges. The challenge of identifying the most likely candidates for these processes is not simple, and we can only at this stage offer what appears to be the most likely. The most straightforward interpretation is that for the high-temperature process in figure 11. The measured activation energy of about 3.75 ev for both epi and Cz Si describes the disappearance of the VEPAS response to defects in the samples, and lies in the range of published values for the binding energy of vacancies to small clusters [11,18-2], particularly the 3.76 ev calculated by Hastings et al for the hexavacancy. This 9

11 high-temperature process is therefore likely to be the evaporation of clusters the hexavacancy is the most probably candidate here via the removal of successive vacancies which are then able readily to migrate to sinks. The lower-temperature process seen in both Cz and epi-si, with activation energies of ~ 2.5 ev, is more difficult to interpret. The transition from V 2 to the clusters which evaporate at higher temperatures is the most likely explanation, but this does not easily sit with the accepted knowledge that V 2 migrate at or below 3ºC, or that the migration energy for V 2 is 1.3 ev [21]. One therefore has to introduce the possibility that V 2 may first undergo some kind of transition into small clusters - say V 4 which may exists in an unstable chain-like form as proposed by Poirier et al. [6], with a negligible change in the VEPAS response. At the temperatures studied this agglomeration may happen very rapidly. 2.5 ev may then be a measure of the migration energy of V 4. The agglomeration occurring between 4-5ºC proceeds measurably more quickly in Cz Si than in epi-si at the same temperatures; this could be due to the action of impurities as seeds for cluster formation. The plots in figure 13 also suggest the existence of two steps in the annealing process for (neutral) V 1 in Si, with activation energies of about.8 and.5 ev. The fact that the two processes appear to dominate in different temperature ranges suggests that the former involves a higher number of steps than the second. Comparison with well-accepted values [25] suggests that the high-temperature process is associated with neutral V 1 migration. This energy has been measured previously by EPR and other spectroscopies. However, more importantly, the other process (with the.8 ev activation energy) is attributed to the migration of Si interstitials. This energy is very difficult to measure and has been the subject of much debate over recent decades; the VEPAS value agrees within uncertainties with that measured by Hallén et al. [26] and may go some way towards ending the debate in this area. The survival of a fraction of vacancies formed in highly As-doped n + Si (figure 12) is consistent with the scenario in which a significant fraction of the V 1 form complexes with the dopant impurities [4] and thus consequently become considerably less mobile. NORMALISED R PARAMETER ºC.95 48ºC.9 5ºC.85 55ºC epi-si ANNEALING TIME (h) Figure 14. Normalised R parameter as a function of annealing time for epi-si samples at four temperatures. The sample at 42ºC was unetched prior to the measurements. 6. Loose ends A number of unexpected and interesting observations were made during the isothermal annealing studies described in section 4. Perhaps the most intriguing was the behaviour of the peak-to-valley ratio, defined as the ratio of the total counts in the annihilation line (Ge detector photopeak centred at 511 kev) divided by those in the ( valley ) region immediately to the left of the photopeak. This ratio has long been used as a measure of the amount of positronium (Ps) formation at the surface of a metal or semiconductor sample, enhanced at elevated temperatures by the desorption of positrons trapped in the surface as thermal Ps [27]. The peak-to-valley ratio, which we shall here call R, was measured 1

12 automatically along with the S and W lineshape parameters; as the system was set up for measurement of these parameters the extent of the valley region was smaller than would normally be used however, R could be measured with relatively high precision as a function of time at each temperature. Figure 14 shows an example of the behaviour of R in four samples of implanted Si during isothermal annealing. The values are normalised to that for bulk Si (measured directly by implanting room-temperature samples with 25 kev positrons, so that a negligible fraction of the implanted positrons are able to diffuse back to the surface to form Ps). The fraction of positrons forming Ps at the surface of the samples is clearly varying significantly with time, increasing as R decreases and tending to zero for each sample at long times.; the exception is the sample annealed at 42ºC, which had not been etched using HF prior to the measurements. It is not unexpected that the Ps fraction greatly depends on the presence or otherwise of native oxide on the surface of the Si; what is not currently understood by the author is the cause of the variation of Ps formation with time at the various temperatures. One notes that the variation does not show a clear trend with annealing temperature, and so must critically depend on the nature of the individual surfaces of each sample. The presence of native oxide seems to prevent, or at least greatly reduce, the variation seen. Oxidation may hold the key, but it is yet to be confirmed that oxide growth, or the state of the oxide-si interface, may vary in such a way to explain the observed data. It is also possible that heating is creating changes in formation potential for Ps at the surface. These observations may have a prosaic or an exotic explanation; in either case they warrant further investigation. 7. Conclusions This paper has tried to summarise the current situation with regard to the study of vacancy-type defect evolution at the University of Bath. There are many pitfalls and potential problems with making measurements at high temperatures, both practical and interpretational, and the conclusions summarised above must be taken in the spirit of work in progress. However, the extraction of activation energies has not been widely performed with beam-based positron spectroscopies and it is to be hoped that this work will encourage more researchers to consider applying this method to gain information on defect evolution not only in semiconductors but also in metals, alloys and other solid materials. Caution must always be invoked in these studies; for example, positron detrapping from defects could affect the results (in a recent paper Makkonen and Puska [28] predict an extremely small binding energy perhaps a few tens of mev for positrons in neutral Si monovacancies). There may be much more scope for working at low temperatures, perhaps by incorporating a positron beam into an ion implanter and performing measurements with the samples in situ; and the unambiguous identification of vacancy cluster size may require the application of beam-based positron lifetime spectroscopy (VEPALS). It is clear that there is still much interesting work to be done in this area. Acknowledgements The author is grateful to the many people who have contributed in various important ways to the research reported in this paper. Drs Dana Abdulmalik, Paul Burrows, Ruth Mason, Ruth Harding and Ilham Al-Qaradawi, and Prof. Gordon Davies, were directly involved in taking and analysing data and in the many discussions which followed, and Nathan Potter worked on void formation in Heimplanted Si. I am especially grateful to Dr Andy Knights for many stimulating discussions and ideas. Most of the ion implantion of samples was performed at the Surrey Ion Beam Centre. Work at the positron laboratory of the University of Bath was supported financially by EPSRC, UK throughout the time of the work reported herein, for which the author is grateful. References [1] Simpson P J, Vos M, Mitchell I V, Wu C and Schultz P J 1991 Phys. Rev. B [2] Fujinami M, Tsuge A and Tanake K 1996 J. Appl. Phys [3] Nielsen B, Holland O W, Leung T C and Lynn K G 1993 J. Appl. Phys [4] Ranki V, Nissilä J and Saarinen K 22 Phys. Rev. Lett

13 [5] Dannefaer S, Avalos V, Kerr D, Poirier R, Shmarovoz V and Zhang S H 26 Phys. Rev. B [6] Poirier R, Avalos V, Dannefaer S, Schiettekatte F and Roorda S 23 Physica B [7] Chilton N B and Coleman P G 1995 Meas. Sci. Technol [8] van Veen A, Schut H and Mijnarends P E 2 Positron Beams and their Applications ed P G Coleman (World Scientific: Singapore) p 191 [9] Ruffell S, Simpson P J, Knights A P 27 J.Phys.: Condens. Matter [1] Coleman P G, Malik F and Knights A P 22 J.Phys.: Condens. Matter [11] Staab T E M, Sieck A, Haugk M, Puska M J, Frauenheim Th and Leipner H S 22 Phys. Rev. B [12] Hakala M, Puska M J and Nieminen R M 1998 Phys. Rev. B [13] Poirier R, Avalos V, Dannefaer S, Schiettekatte F and Roorda S 23 Nucl. Instrum. Methods B [14] Coleman PG, Harding R E, Davies G, Tan J and Wong-Leung J 27 J. Mater. Sci. Electron [15] van Veen A, Schut H, De Vris J, Hakvoort R A and Ijpma M R 199 AIP Conf. Series [16] Ziegler J 25 [17] Abdulmalik D A, Coleman P G and Al-Qaradawi I Y 26 Appl. Surf. Sci [18] Hastings J L, Estreicher S K and Pedders P A 197 Phys. Rev. B [19] Makhov D V and Lewis L J 24 Phys. Rev. Lett [2] Kalyanaraman R, Haynes T E, Holland O W, Gossman H-J L and afferty C S 21 Nucl. Instrum. Methods B [21] Watkins G D and Corbett J W 1965 Phys. Rev. 138 A543 [22] Abdulmalik D A and Coleman P G 28 Phys. Rev. Lett [23] Mason R E and Coleman P G 26 Appl. Surf. Sci [24] Coleman P G and Burrows C P 27 Phys. Rev. Lett [25] Watkins G D 2 Mater. Sci. Semicond. Process [26] Hallén A, Keskilato N, Josyula L and Svensson BG 1999 J. Appl. Phys [27] Mills A P Jr 1995 Positron Spectroscopy of Solids ed A Dupasquier and A P Mills Jr (IOS: Amsterdam) p 29 [28] Makkonen I and Puska MJ 27 Phys. Rev. B

POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER. Paul Coleman University of Bath

POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER. Paul Coleman University of Bath POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER Paul Coleman University of Bath THE FATE OF POSITRONS IN CONDENSED MATTER POSITRON-SURFACE INTERACTIONS positron backscattering BACKSCATTERED

More information

Positron Annihilation Spectroscopy - A non-destructive method for material testing -

Positron Annihilation Spectroscopy - A non-destructive method for material testing - Maik Butterling Institute of Radiation Physics http://www.hzdr.de Positron Annihilation Spectroscopy - A non-destructive method for material testing - Maik Butterling Positron Annihilation Spectroscopy

More information

Slow-Positron-Beam Techniques

Slow-Positron-Beam Techniques Slow-Positron-Beam Techniques 1 Slow-Positron-Beam Techniques The main advantage of the conventional sample source sandwich arrangement is that the emitted positrons immediately penetrate the sample. A

More information

Photoionization of the silicon divacancy studied by positron-annihilation spectroscopy

Photoionization of the silicon divacancy studied by positron-annihilation spectroscopy PHYSICAL REVIEW B VOLUME 57, NUMBER 20 15 MAY 1998-II Photoionization of the silicon divacancy studied by positron-annihilation spectroscopy H. Kauppinen* and C. Corbel Institut National des Sciences et

More information

Improvement of depth resolution of VEPAS by a sputtering technique

Improvement of depth resolution of VEPAS by a sputtering technique Martin Luther University Halle Improvement of depth resolution of VEPAS by a sputtering technique R. Krause Rehberg, M. John, R. Böttger, W. Anwand and A. Wagner Martin Luther University Halle & HZDR Dresden

More information

in Si by means of Positron Annihilation

in Si by means of Positron Annihilation Investigation of the Rp/2 /2-effect in Si by means of Positron Annihilation R. Krause-Rehberg, F. Börner, F. Redmann Universität Halle Martin-Luther-Universität R. Kögler, W. Skorupa Forschungszentrum

More information

Introduction into Positron Annihilation

Introduction into Positron Annihilation Introduction into Positron Annihilation Introduction (How to get positrons? What is special about positron annihilation?) The methods of positron annihilation (positron lifetime, Doppler broadening, ACAR...)

More information

Basics and Means of Positron Annihilation

Basics and Means of Positron Annihilation Basics and Means of Positron Annihilation Positron history Means of positron annihilation positron lifetime spectroscopy angular correlation Doppler-broadening spectroscopy Near-surface positron experiments:

More information

Unmanageable Defects in Proton- Irradiated Silicon: a Factual Outlook for Positron Probing N. Yu. Arutyunov 1,2, M. Elsayed 1, R.

Unmanageable Defects in Proton- Irradiated Silicon: a Factual Outlook for Positron Probing N. Yu. Arutyunov 1,2, M. Elsayed 1, R. Unmanageable Defects in Proton- Irradiated Silicon: a Factual Outlook for Positron Probing N. Yu. Arutyunov 1,2, M. Elsayed 1, R. Krause-Rehberg 1 1 Department of Physics, Martin Luther University, 06120

More information

Identification of Getter Defects in high-energy self-implanted Silicon at Rp/2

Identification of Getter Defects in high-energy self-implanted Silicon at Rp/2 Identification of Getter Defects in high-energy self-implanted Silicon at Rp R. Krause-Rehberg 1, F. Börner 1, F. Redmann 1, J. Gebauer 1, R. Kögler 2, R. Kliemann 2, W. Skorupa 2, W. Egger 3, G. Kögel

More information

Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter.

Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter. 2359-3 Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter 13-24 August 2012 Electrically active defects in semiconductors induced by radiation

More information

DEVELOPMENT OF A NEW POSITRON LIFETIME SPECTROSCOPY TECHNIQUE FOR DEFECT CHARACTERIZATION IN THICK MATERIALS

DEVELOPMENT OF A NEW POSITRON LIFETIME SPECTROSCOPY TECHNIQUE FOR DEFECT CHARACTERIZATION IN THICK MATERIALS Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume 47. 59 DEVELOPMENT OF A NEW POSITRON LIFETIME SPECTROSCOPY TECHNIQUE FOR DEFECT CHARACTERIZATION IN

More information

Material Science using Positron Annihilation

Material Science using Positron Annihilation Material Science using Positron Annihilation R. Krause-Rehberg Universität Halle, Inst. für Physik 9.3.2018 Some historical remarks Techniques of Positron Annihilation Study of Defects in Semiconductors

More information

Application of positrons in materials research

Application of positrons in materials research Application of positrons in materials research Trapping of positrons at vacancy defects Using positrons, one can get defect information. R. Krause-Rehberg and H. S. Leipner, Positron annihilation in Semiconductors,

More information

Outlook: Application of Positron Annihilation for defects investigations in thin films. Introduction to Positron Annihilation Methods

Outlook: Application of Positron Annihilation for defects investigations in thin films. Introduction to Positron Annihilation Methods Application of Positron Annihilation for defects investigations in thin films V. Bondarenko, R. Krause-Rehberg Martin-Luther-University Halle-Wittenberg, Halle, Germany Outlook: Introduction to Positron

More information

Positron Annihilation Spectroscopy

Positron Annihilation Spectroscopy Positron Annihilation Spectroscopy (1) Angular Correlation θ N x, y = p x, y m C θ γ-ray (511keV ± E) 0 (2) Doppler Broadening Cp E = z 2 θ N p ~100µm 22 Na (e + Source) e - e + ~ 10-12 s Sample γ-ray

More information

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study Applied Surface Science 194 (2002) 278 282 Bi-directional phase transition of Cu/6H SiC(0 0 0 1) system discovered by positron beam study J.D. Zhang a,*, H.M. Weng b, Y.Y. Shan a, H.M. Ching a, C.D. Beling

More information

2. Point Defects. R. Krause-Rehberg

2. Point Defects. R. Krause-Rehberg R. Krause-Rehberg 2. Point Defects (F-center in acl) 2.1 Introduction 2.2 Classification 2.3 otation 2.4 Examples 2.5 Peculiarities in Semiconductors 2.6 Determination of Structure and Concentration 2.7

More information

Evidence of a second acceptor state of the E center in Si1-x Gex

Evidence of a second acceptor state of the E center in Si1-x Gex Powered by TCPDF (www.tcpdf.org) This is an electronic reprint of the original article. This reprint may differ from the original in pagination and typographic detail. Author(s): Kuitunen, K. & Tuomisto,

More information

LT10 program for solving basic problems connected with defect detection

LT10 program for solving basic problems connected with defect detection Available online at www.sciencedirect.com Physics Procedia 35 (2012 ) 122 127 Positron Studies of Defects 2011 LT10 program for solving basic problems connected with defect detection D. Giebel, J. Kansy

More information

David B. Cassidy. Department of Physics and Astronomy, University of California, Riverside, USA. Varenna, July 09

David B. Cassidy. Department of Physics and Astronomy, University of California, Riverside, USA. Varenna, July 09 Experimental production of many- positron systems: L2, techniques David B. Cassidy Department of Physics and Astronomy, University of California, Riverside, USA cassidy@physics.ucr.edu Varenna, July 09

More information

Vacancy generation during Cu diffusion in GaAs M. Elsayed PhD. Student

Vacancy generation during Cu diffusion in GaAs M. Elsayed PhD. Student Vacancy generation during Cu diffusion in GaAs M. Elsayed PhD. Student Martin Luther University-FB Physik IV Halle-Wittenberg Outlines Principles of PAS vacancy in Semiconductors and shallow positron traps

More information

Study of semiconductors with positrons. Outlook:

Study of semiconductors with positrons. Outlook: Study of semiconductors with positrons V. Bondarenko, R. Krause-Rehberg Martin-Luther-University Halle-Wittenberg, Halle, Germany Introduction Positron trapping into defects Methods of positron annihilation

More information

Electrically active defects in semiconductors induced by radiation

Electrically active defects in semiconductors induced by radiation Electrically active defects in semiconductors induced by radiation Ivana Capan Rudjer Boskovic Institute, Croatia http://www.irb.hr/users/capan Outline Radiation damage Capacitance transient techniques

More information

Amorphous Carbon Thin Films Deposited on Si and PET: Study of Interface States

Amorphous Carbon Thin Films Deposited on Si and PET: Study of Interface States Vol. 107 (2005) ACTA PHYSICA POLONICA A No. 5 Proceedings of the 35th Polish Seminar on Positron Annihilation, Turawa, Poland 2004 Amorphous Carbon Thin Films Deposited on Si and PET: Study of Interface

More information

Positron Annihilation in Materials Science

Positron Annihilation in Materials Science Positron Annihilation in Materials Science R. Krause-Rehberg Universität Halle, Inst. für Physik History Techniques of Positron Annihilation Defects in Semiconductors User-dedicated Positron Facilities

More information

In Situ Observation of Damage Evolution in Polycarbonate under Ion Irradiation with Positrons

In Situ Observation of Damage Evolution in Polycarbonate under Ion Irradiation with Positrons Proc. 2nd Japan-China Joint Workshop on Positron Science JJAP Conf. Proc. 2 (2014) 011103 2014 The Japan Society of Applied Physics In Situ Observation of Damage Evolution in Polycarbonate under Ion Irradiation

More information

Positron Annihilation techniques for material defect studies

Positron Annihilation techniques for material defect studies Positron Annihilation techniques for material defect studies H. Schut Section : Neutron and Positron Methods in Materials (NPM 2 ) Department: Radiation, Radionuclides and Reactors (R 3 ) Faculty of Applied

More information

Positron Annihilation Spectroscopy on Defects in Semiconductors

Positron Annihilation Spectroscopy on Defects in Semiconductors Positron Annihilation Spectroscopy on Defects in Semiconductors R. Krause-Rehberg Universität Halle, Inst. für Physik Some historical remarks Techniques of Positron Annihilation Study of Defects in Semiconductors

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information

COMPUTATION OF POSITRON IMPLANTATION PROFILE IN SOLIDS. *Corresponding author. Tel:

COMPUTATION OF POSITRON IMPLANTATION PROFILE IN SOLIDS. *Corresponding author.   Tel: COMPUTATION OF POSITRON IMPLANTATION PROFILE IN SOLIDS O. M. Osiele 1 *, G. E. Adeshakin 2 and O. Olubosede 3 1 Department of Physics, Delta State University, Abraka, Delta State, Nigeria. 2 Department

More information

Possibilities for a Bose-Einstein Condensed Positronium Annihilation Gamma Ray Laser

Possibilities for a Bose-Einstein Condensed Positronium Annihilation Gamma Ray Laser Possibilities for a Bose-Einstein Condensed Positronium Annihilation Gamma Ray Laser Allen Mills, Jr., University of California Riverside in collaboration with David Cassidy and Harry Tom (UCR) Rod Greaves

More information

SLOW-POSITRON IMPLANTATION SPECTROSCOPY IN NANOSCIENCE *

SLOW-POSITRON IMPLANTATION SPECTROSCOPY IN NANOSCIENCE * SLOW-POSITRON IMPLANTATION SPECTROSCOPY IN NANOSCIENCE * Ivan PROCHÁZKA a, Jakub ČÍŽEK a, Gerhard BRAUER b, Wolfgang ANWAND b a Department of Low Temperature Physics, Faculty of Mathematics and Physics,

More information

Research Center Dresden Rossendorf

Research Center Dresden Rossendorf News of the EPOS Project at the ELBE Radiation Source in the Research Center Dresden Rossendorf EPOS-Team & R. Krause-Rehberg Extended Concept of EPOS Progress of the mono-energetic Positron Beam (MePS)

More information

Electron-irradiation-induced deep levels in n-type 6H SiC. Citation Journal of Applied Physics, 1999, v. 85 n. 11, p

Electron-irradiation-induced deep levels in n-type 6H SiC. Citation Journal of Applied Physics, 1999, v. 85 n. 11, p Title Electron-irradiation-induced deep levels in n-type 6H SiC Author(s) Gong, M; Fung, SHY; Beling, CD; You, Z Citation Journal of Applied Physics, 1999, v. 85 n. 11, p. 7604-7608 Issued Date 1999 URL

More information

New Concept of EPOS Progress of the Mono-energetic Positron Beam (MePS) Gamma-induced Positron Spectroscopy (GiPS)

New Concept of EPOS Progress of the Mono-energetic Positron Beam (MePS) Gamma-induced Positron Spectroscopy (GiPS) Progress of the EPOS Project: Gamma Induced Positron Spectroscopy (GiPS) R. Krause-Rehberg 1,*,W.Anwand 2,G.Brauer 2, M. Butterling 1,T.Cowan 2,M. Jungmann 1, A. Krille 1, R. Schwengner 2, A. Wagner 2

More information

The intense, pulsed positron source EPOS at the Research Centre Dresden-Rossendorf

The intense, pulsed positron source EPOS at the Research Centre Dresden-Rossendorf The intense, pulsed positron source EPOS at the Research Centre Dresden-Rossendorf The EPOS Team and R. Krause-Rehberg Martin-Luther University, Halle-Wittenberg, Dept. of Physics, 06099 Halle / Germany

More information

Radiation Detection for the Beta- Delayed Alpha and Gamma Decay of 20 Na. Ellen Simmons

Radiation Detection for the Beta- Delayed Alpha and Gamma Decay of 20 Na. Ellen Simmons Radiation Detection for the Beta- Delayed Alpha and Gamma Decay of 20 Na Ellen Simmons 1 Contents Introduction Review of the Types of Radiation Charged Particle Radiation Detection Review of Semiconductor

More information

STRESS ANALYSIS USING BREMSSTRAHLUNG RADIATION

STRESS ANALYSIS USING BREMSSTRAHLUNG RADIATION Copyright JCPDS - International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Volume 46. 106 STRESS ANALYSIS USING BREMSSTRAHLUNG RADIATION F. A. Selim 1, D.P. Wells 1, J. F. Harmon 1,

More information

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts EE 212 FALL 1999-00 ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range

More information

Investigation of SiC by Positrons

Investigation of SiC by Positrons nd/march/000/erlangen Investigation of SiC by Positrons Atsuo KAWASUSO Martin-Luther-Universität Halle-Wittenberg (Humboldt Research Fellow) Japan Atomic Energy Research Institute Takasaki Establishment

More information

Effect of fluence on defect structure of proton-irradiated high-resistivity silicon

Effect of fluence on defect structure of proton-irradiated high-resistivity silicon 4 th RD50 - Workshop on Radiation hard semiconductor devices for very high luminosity colliders CERN, 5-7 May, 2004 Effect of fluence on defect structure of proton-irradiated high-resistivity silicon P.

More information

Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates:

Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates: Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates: a, Photoluminescence (PL) spectrum of localized excitons in a WSe 2 monolayer, exfoliated onto a SiO 2 /Si substrate

More information

Atomistic Front-End Process Modeling

Atomistic Front-End Process Modeling Atomistic Front-End Process Modeling A Powerful Tool for Deep-Submicron Device Fabrication SISPAD 2001, Athens Martin Jaraiz University of Valladolid, Spain Thanks to: P. Castrillo (U. Valladolid) R. Pinacho

More information

The appearance of vacancies during Cu and Zn diffusion in III-V compound semiconductors

The appearance of vacancies during Cu and Zn diffusion in III-V compound semiconductors The appearance of vacancies during Cu and Zn diffusion in III-V compound semiconductors Dissertation zur Erlangung des akademischen Grades Dr. rerum naturalium (Dr. rer. nat.) vorgelegt der Mathematisch-Naturwissenschaftlich-Technischen

More information

Design of the Slow POsitron facility (SPOT) in Israel

Design of the Slow POsitron facility (SPOT) in Israel Journal of Physics: Conference Series OPEN ACCESS Design of the Slow POsitron facility (SPOT) in Israel To cite this article: S May-Tal Beck et al 2014 J. Phys.: Conf. Ser. 505 012026 Related content -

More information

p s s Recombination activity of iron boron pairs in compensated p-type silicon solidi physica status Daniel Macdonald * and An Liu

p s s Recombination activity of iron boron pairs in compensated p-type silicon solidi physica status Daniel Macdonald * and An Liu Phys. Status Solidi B 247, No. 9, 2218 2221 (2010) / DOI 10.1002/pssb.201046157 Recombination activity of iron boron pairs in compensated p-type silicon Daniel Macdonald * and An Liu School of Engineering,

More information

Technical Status Update on PA Lifetime Spectroscopy Experiments and Results

Technical Status Update on PA Lifetime Spectroscopy Experiments and Results Technical Status Update on PA Lifetime Spectroscopy Experiments and Results Dustin McNulty Idaho State University mcnudust@isu.edu October 9, 2012 Technical Status Update on PA Lifetime Spectroscopy Experiments

More information

Radiation damage in silicon exposed to high-energy protons

Radiation damage in silicon exposed to high-energy protons Radiation damage in silicon exposed to high-energy protons Gordon Davies* Department of Physics, King s College London, Strand, London WC2R 2LS, United Kingdom Shusaku Hayama Department of Physics, King

More information

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS H. L. Gomes 1*, P. Stallinga 1, F. Dinelli 2, M. Murgia 2, F. Biscarini 2, D. M. de Leeuw 3 1 University of Algarve, Faculty of Sciences and Technology

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Defect structure and oxygen diffusion in PZT ceramics

Defect structure and oxygen diffusion in PZT ceramics Defect structure and oxygen diffusion in PZT ceramics Adam Georg Balogh Institute of Materials Science Technische Universität Darmstadt A. G. Balogh Folie 1 Introduction Ferroelectrics are of great technical

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Dopant and Self-Diffusion in Semiconductors: A Tutorial Dopant and Self-Diffusion in Semiconductors: A Tutorial Eugene Haller and Hughes Silvestri MS&E, UCB and LBNL FLCC Tutorial 1/26/04 1 FLCC Outline Motivation Background Fick s Laws Diffusion Mechanisms

More information

13th International Workshop on Slow Positron Beam Techniques and Applications

13th International Workshop on Slow Positron Beam Techniques and Applications Positronium and positronium negative ion emission from alkali-metal coated tungsten surfaces Y Nagashima 1, K Michishio 1, H Terabe 1, R H Suzuki 1, S Iida 1, T Yamashita 1, R Kimura 1, T Tachibana 2,

More information

Atomistic simulations on the mobility of di- and tri-interstitials in Si

Atomistic simulations on the mobility of di- and tri-interstitials in Si Atomistic simulations on the mobility of di- and tri-interstitials in Si related publications (since 2001): Posselt, M., Gao, F., Zwicker, D., Atomistic study of the migration of di- and tri-interstitials

More information

The annealing of interstitial carbon atoms in high resistivity n-type silicon after proton irradiation

The annealing of interstitial carbon atoms in high resistivity n-type silicon after proton irradiation ROSE/TN/2002-01 The annealing of interstitial carbon atoms in high resistivity n-type silicon after proton irradiation M. Kuhnke a,, E. Fretwurst b, G. Lindstroem b a Department of Electronic and Computer

More information

hν' Φ e - Gamma spectroscopy - Prelab questions 1. What characteristics distinguish x-rays from gamma rays? Is either more intrinsically dangerous?

hν' Φ e - Gamma spectroscopy - Prelab questions 1. What characteristics distinguish x-rays from gamma rays? Is either more intrinsically dangerous? Gamma spectroscopy - Prelab questions 1. What characteristics distinguish x-rays from gamma rays? Is either more intrinsically dangerous? 2. Briefly discuss dead time in a detector. What factors are important

More information

Correction for PMT temperature dependence of the LHCf calorimeters

Correction for PMT temperature dependence of the LHCf calorimeters Journal of Physics: Conference Series OPEN ACCESS Correction for PMT temperature dependence of the LHCf calorimeters To cite this article: Eri Matsubayashi and the LHCf collaboration 2015 J. Phys.: Conf.

More information

Development of Radiation Hard Si Detectors

Development of Radiation Hard Si Detectors Development of Radiation Hard Si Detectors Dr. Ajay K. Srivastava On behalf of Detector Laboratory of the Institute for Experimental Physics University of Hamburg, D-22761, Germany. Ajay K. Srivastava

More information

Positronium: Old Dog, New Tricks

Positronium: Old Dog, New Tricks Positronium: Old Dog, New Tricks David B. Cassidy Department of Physics and Astronomy, University College London, UK d.cassidy@ucl.ac.uk Ps production further improved using beams (1972) which can interact

More information

Project Memorandum. N N o. = e (ρx)(µ/ρ) (1)

Project Memorandum. N N o. = e (ρx)(µ/ρ) (1) Project Memorandum To : Jebediah Q. Dingus, Gamma Products Inc. From : Patrick R. LeClair, Material Characterization Associates, Inc. Re : 662 kev Gamma ray shielding Date : January 5, 2010 PH255 S10 LeClair

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Identification of the 0.95 ev luminescence band in n-type GaAs:Si

Identification of the 0.95 ev luminescence band in n-type GaAs:Si INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS: CONDENSED MATTER J. Phys.: Condens. Matter 15 (2003) 1 7 PII: S0953-8984(03)66937-7 Identification of the 0.95 ev luminescence band in n-type GaAs:Si

More information

Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation. Abstract

Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation. Abstract Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation H. Iwata, S. Kagamihara, H. Matsuura, S. Kawakita 1), T. Oshima ), T. Kamiya ) Osaka Electro-Communication University,

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 20 Ion-implantation systems and damages during implantation So, in our discussion

More information

THE spectroscopic performance of large volume CdZnTe

THE spectroscopic performance of large volume CdZnTe 3098 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 6, DECEMBER 2004 Analysis of Detector Response Using 3-D Position-Sensitive CZT Gamma-Ray Spectrometers Feng Zhang, Student Member, IEEE, Zhong He,

More information

CHAPTER-II Experimental Techniques and Data Analysis (Positron annihilation spectroscopy)

CHAPTER-II Experimental Techniques and Data Analysis (Positron annihilation spectroscopy) CHAPTER-II Experimental Techniques and Data Analysis (Positron annihilation spectroscopy) 64 Techniques in Positron annihilation spectroscopy PAS comprises of different techniques which provide information

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH STUDIES OF THE RADIATION HARDNESS OF OXYGEN-ENRICHED SILICON DETECTORS

EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH STUDIES OF THE RADIATION HARDNESS OF OXYGEN-ENRICHED SILICON DETECTORS EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH CERN EP/98 62 11 Juin 1998 STUDIES OF THE RADIATION HARDNESS OF OXYGEN-ENRICHED SILICON DETECTORS A. Ruzin, G. Casse 1), M. Glaser, F. Lemeilleur CERN, Geneva,

More information

Low Energy Nuclear Fusion Reactions in Solids

Low Energy Nuclear Fusion Reactions in Solids Kasagi, J., et al. Low Energy Nuclear Fusion Reactions in Solids. in 8th International Conference on Cold Fusion. 2000. Lerici (La Spezia), Italy: Italian Physical Society, Bologna, Italy. Low Energy Nuclear

More information

R. Krause-Rehberg. Martin-Luther-Universität Halle-Wittenberg. Positron Lifetime / Doppler Broadening / Angular Correlation / AMOC

R. Krause-Rehberg. Martin-Luther-Universität Halle-Wittenberg. Positron Lifetime / Doppler Broadening / Angular Correlation / AMOC Experimental Techniques of Positron Annihilation and the pulsed Positron Source EPOS R. Krause-Rehberg -Wittenberg Techniques of Positron Annihilation Positron Sources Positron Lifetime / Doppler Broadening

More information

Defect Formation in 18 MeV Electron Irradiated MOS Structures

Defect Formation in 18 MeV Electron Irradiated MOS Structures Bulg. J. Phys. 33 (2006) 48 54 Defect Formation in 18 MeV Electron Irradiated MOS Structures S. Kaschieva 1, V. Gueorguiev 1, E. Halova 2, S. N. Dmitriev 3 1 Institute of Solid State Physics, Bulgarian

More information

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India Introduction to Semiconductor Physics 1 Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India http://folk.uio.no/ravi/cmp2013 Review of Semiconductor Physics Semiconductor fundamentals

More information

Comparison of deuterium retention for ion-irradiated and neutronirradiated

Comparison of deuterium retention for ion-irradiated and neutronirradiated 13th International Workshop on Plasma-Facing Materials and Components for Fusion Applications / 1st International Conference on Fusion Energy Materials Science Comparison of deuterium retention for ion-irradiated

More information

Precision neutron flux measurement with a neutron beam monitor

Precision neutron flux measurement with a neutron beam monitor Journal of Physics: Conference Series OPEN ACCESS Precision neutron flux measurement with a neutron beam monitor To cite this article: T Ino et al 2014 J. Phys.: Conf. Ser. 528 012039 View the article

More information

Supporting Information

Supporting Information Temperature Effect on Transport, Charging and Binding of Low-Energy Electrons Interacting with Amorphous Solid Water Films Roey Sagi, Michelle Akerman, Sujith Ramakrishnan and Micha Asscher * Institute

More information

ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range of doses - 1 11

More information

Surface analysis techniques

Surface analysis techniques Experimental methods in physics Surface analysis techniques 3. Ion probes Elemental and molecular analysis Jean-Marc Bonard Academic year 10-11 3. Elemental and molecular analysis 3.1.!Secondary ion mass

More information

A new protocol to evaluate the charge collection efficiency degradation in semiconductor devices induced by MeV ions

A new protocol to evaluate the charge collection efficiency degradation in semiconductor devices induced by MeV ions Session 12: Modification and Damage: Contribute lecture O-35 A new protocol to evaluate the charge collection efficiency degradation in semiconductor devices induced by MeV ions Ettore Vittone Physics

More information

Lecture 7: Extrinsic semiconductors - Fermi level

Lecture 7: Extrinsic semiconductors - Fermi level Lecture 7: Extrinsic semiconductors - Fermi level Contents 1 Dopant materials 1 2 E F in extrinsic semiconductors 5 3 Temperature dependence of carrier concentration 6 3.1 Low temperature regime (T < T

More information

Revision Guide for Chapter 14

Revision Guide for Chapter 14 Revision Guide for Chapter 14 Contents Revision Checklist Revision Notes Values of the energy kt...4 The Boltzmann factor...4 Thermal activation processes...5 Summary Diagrams Climbing a ladder by chance...7

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

Modeling of charge collection efficiency degradation in semiconductor devices induced by MeV ion beam irradiation

Modeling of charge collection efficiency degradation in semiconductor devices induced by MeV ion beam irradiation Modeling of charge collection efficiency degradation in semiconductor devices induced by MeV ion beam irradiation Ettore Vittone Physics Department University of Torino - Italy 1 IAEA Coordinate Research

More information

Oxygen defect processes in silicon and silicon germanium

Oxygen defect processes in silicon and silicon germanium Oxygen defect processes in silicon and silicon germanium A. Chroneos, E. N. Sgourou, C. A. Londos, and U. Schwingenschlögl Citation: Applied Physics Reviews 2, 021306 (2015); doi: 10.1063/1.4922251 View

More information

Low energy positron interactions with rare gas atoms: threshold features and benchmark cross sections

Low energy positron interactions with rare gas atoms: threshold features and benchmark cross sections Journal of Physics: Conference Series Low energy positron interactions with rare gas atoms: threshold features and benchmark cross sections To cite this article: James Sullivan et al 2011 J. Phys.: Conf.

More information

Semiconductor physics I. The Crystal Structure of Solids

Semiconductor physics I. The Crystal Structure of Solids Lecture 3 Semiconductor physics I The Crystal Structure of Solids 1 Semiconductor materials Types of solids Space lattices Atomic Bonding Imperfection and doping in SOLIDS 2 Semiconductor Semiconductors

More information

DETECTORS. I. Charged Particle Detectors

DETECTORS. I. Charged Particle Detectors DETECTORS I. Charged Particle Detectors A. Scintillators B. Gas Detectors 1. Ionization Chambers 2. Proportional Counters 3. Avalanche detectors 4. Geiger-Muller counters 5. Spark detectors C. Solid State

More information

Simulation of Electron Behavior in PIG Ion Source for 9 MeV Cyclotron X. J. Mu 1, M. Ghergherehchi 1a, Y.H. Yeon 1, J.S. Chai 1

Simulation of Electron Behavior in PIG Ion Source for 9 MeV Cyclotron X. J. Mu 1, M. Ghergherehchi 1a, Y.H. Yeon 1, J.S. Chai 1 Simulation of Electron Behavior in PIG Ion Source for 9 MeV Cyclotron X. J. Mu 1, M. Ghergherehchi 1a, Y.H. Yeon 1, J.S. Chai 1 1 College of the Electric and Electrical Engineering, Sungkyunkwan University,

More information

Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers

Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers Supplementary Information Interfacial Engineering of Semiconductor Superconductor Junctions for High Performance Micro-Coolers D. Gunnarsson 1, J.S. Richardson-Bullock 2, M.J. Prest 2, H. Q. Nguyen 3,

More information

Defect chemistry in GaAs studied by two-zone annealings under defined As vapor pressure. Outlook:

Defect chemistry in GaAs studied by two-zone annealings under defined As vapor pressure. Outlook: Defect chemistry in studied by two-zone annealings under defined vapor pressure V. Bondarenko 1, R. Krause-Rehberg 1, J. Gebauer 2, F. Redmann 1 1 Martin-Luther-University Halle-Wittenberg, Halle, Germany

More information

Large electron screening effect in different environments

Large electron screening effect in different environments Large electron screening effect in different environments Aleksandra Cvetinović, Matej Lipoglavsek, Sabina Markelj and Jelena Vesić Jožef Stefan Institute, Jamova cesta 39, Ljubljana, Slovenia Abstract

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions CMS Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions Kai Nordlund Department of Physics and Helsinki Institute of Physics University of Helsinki,

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Positron Annihilation in Material Research

Positron Annihilation in Material Research Positron Annihilation in Material Research Introduction Positron sources, positron beams Interaction of positrons with matter Annihilation channels: Emission of 1, 2 or 3 γ-quanta Annihilation spectroscopies:

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten

Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten J. Plasma Fusion Res. SERIES, Vol. 10 (2013) Implantation Energy Dependence on Deuterium Retention Behaviors for the Carbon Implanted Tungsten Yasuhisa Oya 1) *, Makoto Kobayashi 1), Naoaki Yoshida 2),

More information