CPE100: Digital Logic Design I

Size: px
Start display at page:

Download "CPE100: Digital Logic Design I"

Transcription

1 Chapter 2 Professor Brendan Morris, SEB 326, brendan.morris@unlv.edu CPE: Digital Logic Design I Section 4: Dr. Morris Combinational Logic Design Chapter 2 <>

2 Chapter 2 :: Topics Introduction Boolean Equations Boolean Algebra From Logic to Gates Multilevel Combinational Logic X s and Z s, Oh My Karnaugh Maps Combinational Building Blocks Timing Chapter 2 <2>

3 Introduction A logic circuit is composed of: Inputs Outputs Functional specification Timing specification inputs functional spec timing spec outputs Chapter 2 <3>

4 Circuits Nodes Inputs: A, B, C Outputs: Y, Z A E n Internal: n B E3 Y Circuit elements C E2 Z E, E2, E3 Each a circuit Chapter 2 <4>

5 Types of Logic Circuits Combinational Logic (Ch 2) Memoryless Outputs determined by current values of inputs Sequential Logic (Ch 3) Has memory Outputs determined by previous and current values of inputs inputs functional spec timing spec outputs Chapter 2 <5>

6 Rules of Combinational Composition Every element is combinational Every node is either an input or connects to exactly one output The circuit contains no cyclic paths E.g. no connection from output to internal node Example: Chapter 2 <6>

7 Boolean Equations Functional specification of outputs in terms of inputs Example: S = F(A, B, C in ) C out = F(A, B, C in ) A S B C L C C out in A B C in S C out S = A B C in C out = AB + AC in + BC in Chapter 2 <7>

8 Functional specification Goals: Systematically express logical functions using Boolean equations To simplify Boolean equations Chapter 2 <8>

9 Administrative Notes Note: New homework instructions starting with HW3 Homework is due at the beginning of class Homework must be organized, legible (messy is not), and stapled to be graded Chapter 2 <9>

10 Some Definitions Complement: variable with a bar over it A, B, C Literal: variable or its complement A, A, B, B, C, C Implicant: product of literals ABC, AC, BC Minterm: product that includes all input variables ABC, ABC, ABC Maxterm: sum that includes all input variables (A+B+C), (A+B+C), (A+B+C) Chapter 2 <>

11 Canonical Sum-of-Products (SOP) Form All equations can be written in SOP form Each row has a minterm A minterm is a product (AND) of literals Each minterm is TRUE for that row (and only that row) A B Y minterm A B A B A B A B minterm name m m m 2 m 3 Chapter 2 <>

12 Canonical Sum-of-Products (SOP) Form All equations can be written in SOP form Each row has a minterm A minterm is a product (AND) of literals Each minterm is TRUE for that row (and only that row) Form function by ORing minterms where the output is TRUE A B Y Y = F(A, B) = minterm A B A B A B A B minterm name m m m 2 m 3 Chapter 2 <2>

13 Canonical Sum-of-Products (SOP) Form All equations can be written in SOP form Each row has a minterm A minterm is a product (AND) of literals Each minterm is TRUE for that row (and only that row) Form function by ORing minterms where the output is TRUE Thus, a sum (OR) of products (AND terms) A B Y minterm A B A B A B A B minterm name m m m 2 m 3 Y = F(A, B) = AB + AB = Σ(m, m 3 ) Chapter 2 <3>

14 SOP Example Steps: Find minterms that result in Y= Sum TRUE minterms A B Y Y = F(A, B) = Chapter 2 <4>

15 Aside: Precedence AND has precedence over OR In other words: AND is performed before OR Example: Y = ҧ A B + A B Equivalent to: Y = AB ҧ + (AB) Chapter 2 <5>

16 Canonical Product-of-Sums (POS) Form All Boolean equations can be written in POS form Each row has a maxterm A maxterm is a sum (OR) of literals Each maxterm is FALSE for that row (and only that row) A B Y maxterm A + B A + B A + B A + B maxterm name M M M 2 M 3 Chapter 2 <6>

17 Canonical Product-of-Sums (POS) Form All Boolean equations can be written in POS form Each row has a maxterm A maxterm is a sum (OR) of literals Each maxterm is FALSE for that row (and only that row) Form function by ANDing the maxterms for which the output is FALSE Thus, a product (AND) of sums (OR terms) A B Y maxterm A + B A + B A + B A + B maxterm name M M M 2 M 3 Y = M M 2 = A + B ( A ҧ + B) Chapter 2 <7>

18 SOP and POS Comparison Sum of Products (SOP) Implement the ones of the output Sum all one terms OR results in one Product of Sums (POS) Implement the zeros of the output Multiply zero terms AND results in zero Chapter 2 <8>

19 Boolean Equations Example You are going to the cafeteria for lunch You will eat lunch (E=) If it s open (O=) and If they re not serving corndogs (C=) Write a truth table for determining if you will eat lunch (E). O C E Chapter 2 <9>

20 Boolean Equations Example You are going to the cafeteria for lunch You will eat lunch (E=) If it s open (O=) and If they re not serving corndogs (C=) Write a truth table for determining if you will eat lunch (E). O C E Chapter 2 <2>

21 SOP & POS Form SOP sum-of-products O C E POS product-of-sums O C E minterm O C O C O C O C maxterm O + C O + C O + C O + C Chapter 2 <2>

22 SOP & POS Form SOP sum-of-products O C E minterm O C O C O C O C POS product-of-sums O C E maxterm O + C O + C O + C O + C Chapter 2 <22>

23 SOP & POS Form SOP sum-of-products O C E minterm O C O C O C O C E = OC = Σ(m 2 ) POS product-of-sums O C E maxterm O + C O + C O + C O + C Chapter 2 <23>

24 SOP & POS Form SOP sum-of-products O C E minterm O C O C O C O C E = OC = Σ(m 2 ) POS product-of-sums O C E maxterm O + C O + C O + C O + C E = (O + C)(O + C)(O + C) = Π(M, M, M3) Chapter 2 <24>

25 Boolean Algebra Axioms and theorems to simplify Boolean equations Like regular algebra, but simpler: variables have only two values ( or ) Duality in axioms and theorems: ANDs and ORs, s and s interchanged Chapter 2 <25>

26 Boolean Axioms Chapter 2 <26>

27 Duality Duality in Boolean axioms and theorems: ANDs and ORs, s and s interchanged Chapter 2 <27>

28 Boolean Axioms Chapter 2 <28>

29 Boolean Axioms Dual: Exchange: and + and Chapter 2 <29>

30 Boolean Axioms Dual: Exchange: and + and Chapter 2 <3>

31 Basic Boolean Theorems B = B Chapter 2 <3>

32 Basic Boolean Theorems: Duals Dual: Exchange: and + and Chapter 2 <32>

33 T: Identity Theorem B = B B + = B Chapter 2 <33>

34 T: Identity Theorem B = B B + = B B = B B = B Chapter 2 <34>

35 Switching Algebra Simplification of digital logic connecting wires with a on/off switch X = (switch open) X = (switch closed) Chapter 2 <35>

36 Series Switching Network: AND Switching circuit in series performs AND is connected to 2 iff A AND B are Chapter 2 <36>

37 Parallel Switching Network: OR Switching circuit in parallel performs OR is connected to 2 if A OR B is Chapter 2 <37>

38 T: Identity Theorem B = B B + = B B = B B = B Chapter 2 <38>

39 T2: Null Element Theorem B = B + = Chapter 2 <39>

40 T2: Null Element Theorem B = B + = B = B = Chapter 2 <4>

41 T3: Idempotency Theorem B B = B B + B = B Chapter 2 <4>

42 T3: Idempotency Theorem B B = B B + B = B B = B B B B = B Chapter 2 <42>

43 T4: Involution Theorem B = B Chapter 2 <43>

44 T4: Involution Theorem B = B B = B Chapter 2 <44>

45 T5: Complements Theorem B B = B + B = Chapter 2 <45>

46 T5: Complements Theorem B B = B + B = B = B B B = Chapter 2 <46>

47 Recap: Basic Boolean Theorems Chapter 2 <47>

48 Boolean Theorems of Several Vars Number Theorem Name T6 B C = C B Commutativity T7 (B C) D = B (C D) Associativity T8 B (C + D) = (B C) + (B D) Distributivity T9 B (B+C) = B Covering T (B C) + (B C) = B Combining T B C + (B D) + (C D) = B C + B D Consensus Chapter 2 <48>

49 Boolean Theorems of Several Vars Number Theorem Name T6 B C = C B Commutativity T7 (B C) D = B (C D) Associativity T8 B (C + D) = (B C) + (B D) Distributivity T9 B (B+C) = B Covering T (B C) + (B C) = B Combining T B C + (B D) + (C D) = B C + B D Consensus How do we prove these are true? Chapter 2 <49>

50 How to Prove Boolean Relation Method : Perfect induction Method 2: Use other theorems and axioms to simplify the equation Make one side of the equation look like the other Chapter 2 <5>

51 Proof by Perfect Induction Also called: proof by exhaustion Check every possible input value If two expressions produce the same value for every possible input combination, the expressions are equal Chapter 2 <5>

52 Example: Proof by Perfect Induction Number Theorem Name T6 B C = C B Commutativity B C BC CB Chapter 2 <52>

53 Example: Proof by Perfect Induction Number Theorem Name T6 B C = C B Commutativity B C BC CB Chapter 2 <53>

54 Boolean Theorems of Several Vars Number Theorem Name T6 B C = C B Commutativity T7 (B C) D = B (C D) Associativity T8 B (C + D) = (B C) + (B D) Distributivity T9 B (B+C) = B Covering T (B C) + (B C) = B Combining T B C + (B D) + (C D) = B C + B D Consensus Chapter 2 <54>

55 T7: Associativity Number Theorem Name T7 (B C) D = B (C D) Associativity Chapter 2 <55>

56 T8: Distributivity Number Theorem Name T8 B (C + D) = (B C) + (B D) Distributivity Chapter 2 <56>

57 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Chapter 2 <57>

58 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Prove true by: Method : Perfect induction Method 2: Using other theorems and axioms Chapter 2 <58>

59 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Method : Perfect Induction B C (B+C) B(B+C) Chapter 2 <59>

60 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Method : Perfect Induction B C (B+C) B(B+C) Chapter 2 <6>

61 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Method 2: Prove true using other axioms and theorems. Chapter 2 <6>

62 T9: Covering Number Theorem Name T9 B (B+C) = B Covering Method 2: Prove true using other axioms and theorems. B (B+C) = B B + B C T8: Distributivity = B + B C T3: Idempotency = B ( + C) T8: Distributivity = B () T2: Null element = B T: Identity Chapter 2 <62>

63 T: Combining Number Theorem Name T (B C) + (B C) = B Combining Prove true using other axioms and theorems: Chapter 2 <63>

64 T: Combining Number Theorem Name T (B C) + (B C) = B Combining Prove true using other axioms and theorems: B C + B C = B (C+C) T8: Distributivity = B () T5 : Complements = B T: Identity Chapter 2 <64>

65 T: Consensus Number Theorem T (B C) + (B D) + (C D) = (B C) + B D Name Consensus Prove true using () perfect induction or (2) other axioms and theorems. Chapter 2 <65>

66 Recap: Boolean Thms of Several Vars Number Theorem Name T6 B C = C B Commutativity T7 (B C) D = B (C D) Associativity T8 B (C + D) = (B C) + (B D) Distributivity T9 B (B+C) = B Covering T (B C) + (B C) = B Combining T B C + (B D) + (C D) = B C + B D Consensus Chapter 2 <66>

67 Boolean Thms of Several Vars: Duals # Theorem Dual Name T6 B C = C B B+C = C+B Commutativity T7 (B C) D = B (C D) (B + C) + D = B + (C + D) Associativity T8 B (C + D) = (B C) + (B D) B + (C D) = (B+C) (B+D) Distributivity T9 B (B+C) = B B + (B C) = B Covering T (B C) + (B C) = B (B+C) (B+C) = B Combining T (B C) + (B D) + (C D) = (B C) + (B D) (B+C) (B+D) (C+D) = (B+C) (B+D) Dual: Replace: with + with Consensus Chapter 2 <67>

68 Boolean Thms of Several Vars: Duals # Theorem Dual Name T6 B C = C B B+C = C+B Commutativity T7 (B C) D = B (C D) (B + C) + D = B + (C + D) Associativity T8 B (C + D) = (B C) + (B D) B + (C D) = (B+C) (B+D) Distributivity T9 B (B+C) = B B + (B C) = B Covering T (B C) + (B C) = B (B+C) (B+C) = B Combining T (B C) + (B D) + (C D) = (B C) + (B D) (B+C) (B+D) (C+D) = (B+C) (B+D) Consensus Dual: Replace: with + with Warning: T8 differs from traditional algebra: OR (+) distributes over AND ( ) Chapter 2 <68>

69 Boolean Thms of Several Vars: Duals # Theorem Dual Name T6 B C = C B B+C = C+B Commutativity T7 (B C) D = B (C D) (B + C) + D = B + (C + D) Associativity T8 B (C + D) = (B C) + (B D) B + (C D) = (B+C) (B+D) Distributivity T9 B (B+C) = B B + (B C) = B Covering T (B C) + (B C) = B (B+C) (B+C) = B Combining T (B C) + (B D) + (C D) = (B C) + (B D) (B+C) (B+D) (C+D) = (B+C) (B+D) Consensus Axioms and theorems are useful for simplifying equations. Chapter 2 <69>

70 Simplifying an Equation Reducing an equation to the fewest number of implicants, where each implicant has the fewest literals Chapter 2 <7>

71 Simplifying an Equation Reducing an equation to the fewest number of implicants, where each implicant has the fewest literals Recall: Implicant: product of literals ABC, AC, BC Literal: variable or its complement A, A, B, B, C, C Chapter 2 <7>

72 Simplifying an Equation Reducing an equation to the fewest number of implicants, where each implicant has the fewest literals Recall: Implicant: product of literals ABC, AC, BC Literal: variable or its complement A, A, B, B, C, C Also called: minimizing the equation Chapter 2 <72>

73 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Chapter 2 <73>

74 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A Chapter 2 <74>

75 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <75>

76 Simplification methods A combination of Combining/Covering PA + A = P + A Proof: PA + A = PA + (A + AP) T9 Covering = PA + PA + A T6 Commutativity = P(A + A) + A T8 Distributivity = P() + A T5 Complements = P + A T Identity Chapter 2 <76>

77 T: Consensus Number Theorem T (B C) + (B D) + (C D) = (B C) + B D Name Consensus Prove using other theorems and axioms: Chapter 2 <77>

78 T: Consensus Number Theorem T (B C) + (B D) + (C D) = (B C) + B D Name Consensus Prove using other theorems and axioms: B C + B D + C D = BC + BD + (CDB+CDB) T: Combining = BC + BD + BCD+BCD T6: Commutativity = BC + BCD + BD + BCD T6: Commutativity = (BC + BCD) + (BD + BCD) T7: Associativity = BC + BD T9 : Covering Chapter 2 <78>

79 Recap: Boolean Thms of Several Vars # Theorem Dual Name T6 B C = C B B+C = C+B Commutativity T7 (B C) D = B (C D) (B + C) + D = B + (C + D) Associativity T8 B (C + D) = (B C) + (B D) B + (C D) = (B+C) (B+D) Distributivity T9 B (B+C) = B B + (B C) = B Covering T (B C) + (B C) = B (B+C) (B+C) = B Combining T (B C) + (B D) + (C D) = (B C) + (B D) (B+C) (B+D) (C+D) = (B+C) (B+D) Consensus Chapter 2 <79>

80 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <8>

81 Simplifying Boolean Equations Example : Y = AB + AB Chapter 2 <8>

82 Simplifying Boolean Equations Example : Y = AB + AB Y = A T: Combining or = A(B + B) T8: Distributivity = A() T5 : Complements = A T: Identity Chapter 2 <82>

83 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <83>

84 Simplifying Boolean Equations Example 2: Y = A(AB + ABC) Chapter 2 <84>

85 Simplifying Boolean Equations Example 2: Y = A(AB + ABC) = A(AB( + C)) T8: Distributivity = A(AB()) T2 : Null Element = A(AB) T: Identity = (AA)B T7: Associativity = AB T3: Idempotency Chapter 2 <85>

86 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <86>

87 Simplifying Boolean Equations Example 3: Y = A BC + A Recall: A = A Chapter 2 <87>

88 Simplifying Boolean Equations Example 3: Y = A BC + A Recall: A = A = A T9 Covering: X + XY = X or = A (BC + ) T8: Distributivity = A () T2 : Null Element = A T: Identity Chapter 2 <88>

89 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <89>

90 Simplifying Boolean Equations Example 4: Y = AB C + ABC + A BC Chapter 2 <9>

91 Simplifying Boolean Equations Example 4: Y = AB C + ABC + A BC = AB C + ABC + ABC + A BC T3 : Idempotency = (AB C+ABC) + (ABC+A BC) T7 : Associativity = AC + BC T: Combining Chapter 2 <9>

92 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <92>

93 Simplifying Boolean Equations Example 5: Y = AB + BC +B D + AC D Chapter 2 <93>

94 Simplifying Boolean Equations Example 5: Y = AB + BC +B D + AC D Method : Y = AB + BC + B D + (ABC D + AB C D ) T: Combining = (AB + ABC D ) + BC + (B D + AB C D ) T6: Commutativity T7: Associativity = AB + BC + B D T9: Covering Method 2: Y = AB + BC + B D + AC D + AD T: Consensus = AB + BC + B D + AD T9: Covering = AB + BC + B D T: Consensus Chapter 2 <94>

95 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <95>

96 Simplifying Boolean Equations Example 6: Y = (A + BC)(A + DE) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Chapter 2 <96>

97 Simplifying Boolean Equations Example 6: Y = (A + BC)(A + DE) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Make: X = BC, Z = DE and rewrite equation Y = (A+X)(A+Z) substitution (X=BC, Z=DE) = A + XZ T8 : Distributivity = A + BCDE substitution or Y = AA + ADE + ABC + BCDE T8: Distributivity = A + ADE + ABC + BCDE T3: Idempotency = A + ADE + ABC + BCDE = A + ABC + BCDE T9 : Covering = A + BCDE T9 : Covering Chapter 2 <97>

98 Y = (A + BC)(A + DE) or Simplifying Boolean Equations Example 6: Apply T8 first when possible: W+XZ = (W+X)(W+Z) Make: X = BC, Z = DE and rewrite equation Y = (A+X)(A+Z) substitution (X=BC, Z=DE) Y = A + XZ T8 : Distributivity = A + BCDE substitution = AA + ADE + ABC + BCDE T8: Distributivity = A + ADE + ABC + BCDE T3: Idempotency = A + ADE + ABC + BCDE = A + ABC + BCDE T9 : Covering = A + BCDE T9 : Covering This is called multiplying out an expression to get sum-of-products (SOP) form. Chapter 2 <98>

99 Reminder Midterm : Thursday, Oct. 5 th In class: hour and 5 minutes Chap 2.6 Closed book, closed notes No calculator Boolean Theorems & Axioms document will be attached as last page of the exam for your convenience Chapter 2 <99>

100 Multiplying Out: SOP Form An expression is in simplified sum-ofproducts (SOP) form when all products contain literals only. SOP form: Y = AB + BC + DE NOT SOP form: Y = DF + E(A +B) SOP form: Z = A + BC + DE F Chapter 2 <>

101 Multiplying Out: SOP Form Example: Y = (A + C + D + E)(A + B) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Chapter 2 <>

102 Multiplying Out: SOP Form Example: Y = (A + C + D + E)(A + B) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Make: X = (C+D+E), Z = B and rewrite equation Y = (A+X)(A+Z) substitution (X=(C+D+E), Z=B) = A + XZ T8 : Distributivity = A + (C+D+E)B substitution = A + BC + BD + BE T8: Distributivity or Y = AA+AB+AC+BC+AD+BD+AE+BE T8: Distributivity = A+AB+AC+AD+AE+BC+BD+BE T3: Idempotency = A + BC + BD + BE T9 : Covering Chapter 2 <2>

103 Canonical SOP & POS Form SOP sum-of-products O C E minterm O C O C O C O C E = OC = Σ(m 2 ) POS product-of-sums O C E maxterm O + C O + C O + C O + C E = (O + C)(O + C)(O + C) = Π(M, M, M3) Chapter 2 <3>

104 Factoring: POS Form An expression is in simplified productof-sums (POS) form when all sums contain literals only. POS form: Y = (A+B)(C+D)(E +F) NOT POS form: Y = (D+E)(F +GH) POS form: Z = A(B+C)(D+E ) Chapter 2 <4>

105 Factoring: POS Form Example : Y = (A + B CDE) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Chapter 2 <5>

106 Factoring: POS Form Example : Y = (A + B CDE) Apply T8 first when possible: W+XZ = (W+X)(W+Z) Make: X = B C, Z = DE and rewrite equation Y = (A+XZ) substitution (X=B C, Z=DE) = (A+B C)(A+DE) T8 : Distributivity = (A+B )(A+C)(A+D)(A+E) T8 : Distributivity Chapter 2 <6>

107 Factoring: POS Form Example 2: Y = AB + C DE + F Apply T8 first when possible: W+XZ = (W+X)(W+Z) Chapter 2 <7>

108 Factoring: POS Form Example 2: Y = AB + C DE + F Apply T8 first when possible: W+XZ = (W+X)(W+Z) Make: W = AB, X = C, Z = DE and rewrite equation Y = (W+XZ) + F substitution W = AB, X = C, Z = DE = (W+X)(W+Z) + F T8 : Distributivity = (AB+C )(AB+DE)+F substitution = (A+C )(B+C )(AB+D)(AB+E)+F T8 : Distributivity = (A+C )(B+C )(A+D)(B+D)(A+E)(B+E)+F T8 : Distributivity = (A+C +F)(B+C +F)(A+D+F)(B+D+F)(A+E+F)(B+E+F) T8 : Distributivity Chapter 2 <8>

109 Boolean Thms of Several Vars: Duals # Theorem Dual Name T6 B C = C B B+C = C+B Commutativity T7 (B C) D = B (C D) (B + C) + D = B + (C + D) Associativity T8 B (C + D) = (B C) + (B D) B + (C D) = (B+C) (B+D) Distributivity T9 B (B+C) = B B + (B C) = B Covering T (B C) + (B C) = B (B+C) (B+C) = B Combining T (B C) + (B D) + (C D) = (B C) + (B D) (B+C) (B+D) (C+D) = (B+C) (B+D) Consensus Axioms and theorems are useful for simplifying equations. Chapter 2 <9>

110 Simplification methods Distributivity (T8, T8 ) B (C+D) = BC + BD B + CD = (B+ C)(B+D) Covering (T9 ) A + AP = A Combining (T) PA + PA = P Expansion P = PA + PA A = A + AP Duplication A = A + A A combination of Combining/Covering PA + A = P + A Chapter 2 <>

111 DeMorgan s Theorem Number Theorem Name T2 B B B 2 = B +B +B 2 DeMorgan s Theorem Chapter 2 <>

112 DeMorgan s Theorem Number Theorem Name T2 B B B 2 = B +B +B 2 DeMorgan s Theorem The complement of the product is the sum of the complements Chapter 2 <2>

113 DeMorgan s Theorem: Dual # Theorem Dual Name T2 B B B 2 = B +B +B 2 B +B +B 2 = B B B 2 DeMorgan s Theorem Chapter 2 <3>

114 DeMorgan s Theorem: Dual # Theorem Dual Name T2 B B B 2 = B +B +B 2 B +B +B 2 = B B B 2 The complement of the product is the sum of the complements DeMorgan s Theorem Chapter 2 <4>

115 DeMorgan s Theorem: Dual # Theorem Dual Name T2 B B B 2 = B +B +B 2 B +B +B 2 = B B B 2 The complement of the product is the sum of the complements. Dual: The complement of the sum is the product of the complements. DeMorgan s Theorem Chapter 2 <5>

116 DeMorgan s Theorem Y = AB = A + B A B A B Y Y Y = A + B = A B A B A B Y Y Chapter 2 <6>

117 DeMorgan s Theorem Example Y = (A+BD)C Chapter 2 <7>

118 DeMorgan s Theorem Example Y = (A+BD)C = (A+BD) + C = (A (BD)) + C = (A (BD)) + C = ABD + C Chapter 2 <8>

119 DeMorgan s Theorem Example 2 Y = (ACE+D) + B Chapter 2 <9>

120 DeMorgan s Theorem Example 2 Y = (ACE+D) + B = (ACE+D) B = (ACE D) B = ((AC+E) D) B = ((AC+E) D) B = (ACD + DE) B = ABCD + BDE Chapter 2 <2>

121 Bubble Pushing Backward: Body changes Adds bubbles to inputs A B Y A B Y Forward: Body changes Adds bubble to output A B Y A B Y Chapter 2 <2>

122 Bubble Pushing What is the Boolean expression for this circuit? A B C D Y Chapter 2 <22>

123 Bubble Pushing What is the Boolean expression for this circuit? A B C D Y Y = AB + CD Chapter 2 <23>

124 Bubble Pushing Rules Begin at output, then work toward inputs Push bubbles on final output back Draw gates in a form so bubbles cancel A B C Y D Chapter 2 <24>

125 Bubble Pushing Example A B C D Y Chapter 2 <25>

126 Bubble Pushing Example A B no output bubble C D Y Chapter 2 <26>

127 Bubble Pushing Example A B no output bubble C D Y A B bubble on input and output C D Y Chapter 2 <27>

128 Bubble Pushing Example A B no output bubble C D Y A B C D A B C D no bubble on input and output Y = ABC + D bubble on input and output Y Y Chapter 2 <28>

129 Canonical SOP & POS Form Revisited SOP sum-of-products O C E minterm O C O C O C O C How do we implement this logic function with gates? E = OC = Σ(m 2 ) POS product-of-sums O C E maxterm O + C O + C O + C O + C E = (O + C)(O + C)(O + C) = Π(M, M, M3) Chapter 2 <29>

130 From Logic to Gates Two-level logic: ANDs followed by ORs Example: Y = ABC + ABC + ABC A B C A B C minterm: ABC minterm: ABC minterm: ABC Y Chapter 2 <3>

131 Circuit Schematics Rules Inputs on the left (or top) Outputs on right (or bottom) Gates flow from left to right Straight wires are best Y = തB ҧ C + A തB Chapter 2 <3>

132 Circuit Schematic Rules (cont.) Wires always connect at a T junction A dot where wires cross indicates a connection between the wires Wires crossing without a dot make no connection wires connect at a T junction wires connect at a dot wires crossing without a dot do not connect Chapter 2 <32>

133 Multiple-Output Circuits Example: Priority Circuit Output asserted corresponding to most significant TRUE input A 3 A 2 A A PRIORITY CiIRCUIT Y 3 Y 2 Y Y A 3 A 2 A A Y 3 Y 2 Y Y Chapter 2 <33>

134 Multiple-Output Circuits Example: Priority Circuit Output asserted corresponding to most significant TRUE input A 3 A 2 A A PRIORITY CiIRCUIT Y 3 Y 2 Y Y A 3 A 2 A A Y 3 Y 2 Y Y Chapter 2 <34>

135 Priority Circuit Hardware A 3 A 2 A A Y 3 Y 2 Y Y A 3 A 2 A A Y 3 Y 2 Y Y Chapter 2 <35>

136 Don t Cares Simplify truth table by ignoring entries A 3 A 2 A A Y 3 Y 2 Y Y A 3 A 2 X A A X X X Y 3 Y 2 Y Y X X Much easier to read off Boolean equations A 3 A 2 A A Y 3 Y 2 Y Y = A 3 = A 3 A 2 = A 3 A 2 A = A 3 A 2 A A Chapter 2 <36>

137 Contention: X Contention: circuit tries to drive output to and Actual value somewhere in between Could be,, or in forbidden zone Might change with voltage, temperature, time, noise Often causes excessive power dissipation A = Y = X B = Warnings: Contention usually indicates a bug. X is used for don t care and contention - look at the context to tell them apart Chapter 2 <37>

138 Floating: Z Floating, high impedance, open, high Z Floating output might be,, or somewhere in between A voltmeter won t indicate whether a node is floating Tristate Buffer E A E A Y Z Z Y Note: tristate buffer has an enable bit (E) to turn on the gate Chapter 2 <38>

139 shared bus Tristate Busses Floating nodes are used in tristate busses en Many different drivers Exactly one is active at once processor to bus from bus video to bus from bus en2 Ethernet en3 to bus from bus memory en4 to bus from bus Chapter 2 <39>

140 Karnaugh Maps (K-Maps) Boolean expressions can be minimized by combining terms PA + PA = P K-maps minimize equations graphically Put terms to combine close to one another A B C Y Y C AB Y = Aҧ തB C ҧ + Y AB C ABC ABC ҧ A തBC = ABC ABC ҧ A തB(C + ABC ABC ҧ C) ABC ABC Chapter 2 <4>

141 K-Map Circle s in adjacent squares In Boolean expression, include only literals whose true and complement form are not in the circle A B C Y Y C Y = AB ҧ A തB C not included because both C and Cҧ included in circle Chapter 2 <4>

142 3-Input K-Map Y AB C ABC ABC ABC ABC ABC ABC ABC ABC A Truth Table B C Y K-Map Y AB C Chapter 2 <42>

143 K-Map Definitions Complement: variable with a bar over it A, B, C Literal: variable or its complement A, A, B, B, C, C Implicant: product of literals ABC, AC, BC Prime implicant: implicant corresponding to the largest circle in a K-map Chapter 2 <43>

144 K-Map Rules Every must be circled at least once Each circle must span a power of 2 (i.e., 2, 4) squares in each direction Each circle must be as large as possible A circle may wrap around the edges A don't care (X) is circled only if it helps minimize the equation Chapter 2 <44>

145 4-Input K-Map A B C D Y Y AB CD Chapter 2 <45>

146 4-Input K-Map A B C D Y Y AB CD Chapter 2 <46>

147 4-Input K-Map A B C D Y Y CD AB Y = AC + ABD + ABC + BD Chapter 2 <47>

148 K-Maps with Don t Cares A B C D Y X X X X X X X Y AB CD Chapter 2 <48>

149 K-Maps with Don t Cares A B C D Y X X X X X X X Y AB CD X X X X X X X Chapter 2 <49>

150 K-Maps with Don t Cares A B C D Y X X X X X X X Y AB CD X X X X X Y = A + BD + C X X Chapter 2 <5>

151 4-Input K-Map: POS & SOP Form A B C D Y Y AB CD Chapter 2 <5>

152 4-Input K-Map: POS Form A B C D Y Y CD AB Y = AC + ABD + ABC + BD Chapter 2 <52>

153 4-Input K-Map: POS Form A B C D Y Y AB CD Chapter 2 <53>

154 Canonical POS Expansion Add literal/complement terms to reverse simplification ( expand literal) Example Y = C Y = C + AAҧ Y = C + A (C + A) ҧ Y = [ C + A + B തB](C + A) ҧ Y = C + A + B C + A + തB C + Aҧ Chapter 2 <54>

155 Combinational Building Blocks Multiplexers Decoders Chapter 2 <55>

156 Multiplexer (Mux) Selects between one of N inputs to connect to output log 2 N-bit required to select input control input S Example: 2: Mux (2 inputs to output) N = 2 log 2 2 = control bit required S D D D D S Y Y S Y D D Chapter 2 <56>

157 Multiplexer Implementations Logic gates Sum-of-products form Y D D S Tristates For an N-input mux, use N tristates Turn on exactly one to select the appropriate input S Y = D S + D S D Y D D S D Y Chapter 2 <57> 2-<57>

158 Logic using Multiplexers Using the mux as a lookup table Zero outputs tied to GND One output tied to VDD A B Y Y = AB A B Y Chapter 2 <58>

159 Logic using Multiplexers Reducing the size of the mux Y = AB A B Y A Y A B B Y Chapter 2 <59>

160 Decoders N inputs, 2 N outputs One-hot outputs: only one output HIGH at once Example 2:4 Decoder (2 inputs to 4 outputs) A i decimal value selects the corresponding output A A 2:4 Decoder Y 3 Y 2 Y Y A A Y 3 Y 2 Y Y Chapter 2 <6>

161 Decoder Implementation A A Y 3 Y 2 Y Y Chapter 2 <6>

162 Logic Using Decoders OR minterms A B 2:4 Decoder Minterm AB AB AB AB Y = AB + AB = A B Y XNOR function Chapter 2 <62>

163 Timing Delay between input change and output changing A Y delay A Y Time How to build fast circuits? Chapter 2 <63>

164 Propagation & Contamination Delay Propagation delay: t pd = max delay from input to final output Contamination delay: t cd = min delay from input to initial output change A Y A Y t pd Note: Timing diagram shows a signal with a high and low and transition time as an X. Cross hatch indicates unknown/changing values t cd Time Chapter 2 <64>

165 Propagation & Contamination Delay Delay is caused by Capacitance and resistance in a circuit Speed of light limitation Reasons why t pd and t cd may be different: Different rising and falling delays Multiple inputs and outputs, some of which are faster than others Circuits slow down when hot and speed up when cold Chapter 2 <65>

166 Critical (Long) & Short Paths Critical Path A B C D n Short Path n2 Y Critical (Long) Path: t pd = 2t pd_and + t pd_or Short Path: t cd = t cd_and Chapter 2 <66>

167 Glitches When a single input change causes an output to change multiple times Chapter 2 <67>

168 Glitch Example What happens when A =, C =, B falls? A B Y C Y C AB Y = AB + BC Chapter 2 <68>

169 Glitch Example (cont.) A = B = C = Critical Path n n2 Y = Short Path B n2 n Note: n is slower than n2 because of the extra inverter for B to go through Y glitch Time Chapter 2 <69>

170 Fixing the Glitch Y C AB Consensus term AC Y = AB + BC + AC A = B = Y = C = Chapter 2 <7>

171 Why Understand Glitches? Glitches shouldn t cause problems because of synchronous design conventions (see Chapter 3) It s important to recognize a glitch: in simulations or on oscilloscope Can t get rid of all glitches simultaneous transitions on multiple inputs can also cause glitches Chapter 2 <7>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information

T9: Covering. Prove true by: Method 1: Perfect induction Method 2: Using other theorems and axioms. Number Theorem. T9 B (B+C) = B Covering

T9: Covering. Prove true by: Method 1: Perfect induction Method 2: Using other theorems and axioms. Number Theorem. T9 B (B+C) = B Covering T9: Covering Number Theorem Name T9 B (B+C) = B Covering Prove true by: Method 1: Perfect induction Method 2: Using other theorems and axioms Chapter 2 T9: Covering Number Theorem Name T9 B (B+C)

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010 Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer rchitecture David Money Harris and

More information

Administrative Notes. Chapter 2 <9>

Administrative Notes. Chapter 2 <9> Administrative Notes Note: New homework instructions starting with HW03 Homework is due at the beginning of class Homework must be organized, legible (messy is not), and stapled to be graded Chapter 2

More information

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction hapter 2 Introduction igital esign and omputer rchitecture, 2 nd Edition avid Money Harris and Sarah L. Harris logic circuit is composed of: Inputs Outputs Functional specification Timing specification

More information

Karnaugh Maps (K-Maps)

Karnaugh Maps (K-Maps) Karnaugh Maps (K-Maps) Boolean expressions can be minimized by combining terms P + P = P K-maps minimize equations graphically Put terms to combine close to one another B C C B B C BC BC BC BC BC BC BC

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 2 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe100/ CPE100: Digital Logic Design I Section 1004: Dr. Morris Combinational Logic Design Chapter

More information

Logic Design Combinational Circuits. Digital Computer Design

Logic Design Combinational Circuits. Digital Computer Design Logic Design Combinational Circuits Digital Computer Design Topics Combinational Logic Karnaugh Maps Combinational uilding locks Timing 2 Logic Circuit logic circuit is composed of: Inputs Outputs Functional

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

UNIT 5 KARNAUGH MAPS Spring 2011

UNIT 5 KARNAUGH MAPS Spring 2011 UNIT 5 KRNUGH MPS Spring 2 Karnaugh Maps 2 Contents Minimum forms of switching functions Two- and three-variable Four-variable Determination of minimum expressions using essential prime implicants Five-variable

More information

ENGR 303 Introduction to Logic Design Lecture 3. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College

ENGR 303 Introduction to Logic Design Lecture 3. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College Introduction to Logic Design Lecture 3 Dr. Chuck rown Engineering and Computer Information Science Folsom Lake College Outline for Todays Lecture Logic Circuits SOP / POS oolean Theorems DeMorgan s Theorem

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm01 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Oct. 5 th In normal lecture (13:00-14:15)

More information

Combinational Logic. Review of Combinational Logic 1

Combinational Logic. Review of Combinational Logic 1 Combinational Logic! Switches -> Boolean algebra! Representation of Boolean functions! Logic circuit elements - logic gates! Regular logic structures! Timing behavior of combinational logic! HDLs and combinational

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive March 30, 2010 John Wawrzynek Spring 2010 EECS150 - Lec19-cl1 Page 1 Boolean Algebra I (Representations of Combinational

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm02 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Nov. 16 th In normal lecture (13:00-14:15)

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Digital Design 2. Logic Gates and Boolean Algebra

Digital Design 2. Logic Gates and Boolean Algebra Digital Design 2. Logic Gates and oolean lgebra József Sütő ssistant Lecturer References: [1] D.M. Harris, S.L. Harris, Digital Design and Computer rchitecture, 2nd ed., Elsevier, 213. [2] T.L. Floyd,

More information

Week-I. Combinational Logic & Circuits

Week-I. Combinational Logic & Circuits Week-I Combinational Logic & Circuits Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other logic operators IC families and

More information

Advanced Digital Design with the Verilog HDL, Second Edition Michael D. Ciletti Prentice Hall, Pearson Education, 2011

Advanced Digital Design with the Verilog HDL, Second Edition Michael D. Ciletti Prentice Hall, Pearson Education, 2011 Problem 2-1 Recall that a minterm is a cube in which every variable appears. A Boolean expression in SOP form is canonical if every cube in the expression has a unique representation in which all of the

More information

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps EE210: Switching Systems Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps Prof. YingLi Tian Feb. 21/26, 2019 Department of Electrical Engineering The City College of New York

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Ch1: Digital Systems and Binary Numbers Ch2: Ch3: Gate-Level Minimization Ch4: Combinational Logic Ch5: Synchronous Sequential Logic Ch6: Registers and Counters Switching Theory & Logic Design Prof. Adnan

More information

CHAPTER 5 KARNAUGH MAPS

CHAPTER 5 KARNAUGH MAPS CHAPTER 5 1/36 KARNAUGH MAPS This chapter in the book includes: Objectives Study Guide 5.1 Minimum Forms of Switching Functions 5.2 Two- and Three-Variable Karnaugh Maps 5.3 Four-Variable Karnaugh Maps

More information

DIGITAL ELECTRONICS & it0203 Semester 3

DIGITAL ELECTRONICS & it0203 Semester 3 DIGITAL ELECTRONICS & it0203 Semester 3 P.Rajasekar & C.M.T.Karthigeyan Asst.Professor SRM University, Kattankulathur School of Computing, Department of IT 8/22/2011 1 Disclaimer The contents of the slides

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms Review EECS 150 - Components and Design Techniques for Digital Systems Lec 06 Minimizing Boolean Logic 9/16-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT):

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT): ENGI 386 Digital Logic II. COMBINATIONAL LOGIC DESIGN Combinational Logic output of digital system is only dependent on current inputs (i.e., no memory) (a) Boolean Algebra - developed by George Boole

More information

CHAPTER 2 BOOLEAN ALGEBRA

CHAPTER 2 BOOLEAN ALGEBRA CHAPTER 2 BOOLEAN ALGEBRA This chapter in the book includes: Objectives Study Guide 2.1 Introduction 2.2 Basic Operations 2.3 Boolean Expressions and Truth Tables 2.4 Basic Theorems 2.5 Commutative, Associative,

More information

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Today s Topics Boolean algebra applications in logic

More information

This form sometimes used in logic circuit, example:

This form sometimes used in logic circuit, example: Objectives: 1. Deriving of logical expression form truth tables. 2. Logical expression simplification methods: a. Algebraic manipulation. b. Karnaugh map (k-map). 1. Deriving of logical expression from

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 2 Following the slides of Dr. Ahmed H. Madian ذو الحجة 438 ه Winter

More information

Chapter 2 Combinational logic

Chapter 2 Combinational logic Chapter 2 Combinational logic Chapter 2 is very easy. I presume you already took discrete mathemtics. The major part of chapter 2 is boolean algebra. II - Combinational Logic Copyright 24, Gaetano Borriello

More information

EECS Variable Logic Functions

EECS Variable Logic Functions EECS150 Section 1 Introduction to Combinational Logic Fall 2001 2-Variable Logic Functions There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs X

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Chapter 2 - Part 1 2 Chapter 2 - Part 1 3 Chapter 2 - Part 1 4 Chapter 2 - Part

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

Karnaugh Maps Objectives

Karnaugh Maps Objectives Karnaugh Maps Objectives For Karnaugh Maps of up to 5 variables Plot a function from algebraic, minterm or maxterm form Obtain minimum Sum of Products and Product of Sums Understand the relationship between

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

Lecture A: Logic Design and Gates

Lecture A: Logic Design and Gates Lecture A: Logic Design and Gates Syllabus My office hours 9.15-10.35am T,Th or gchoi@ece.tamu.edu 333G WERC Text: Brown and Vranesic Fundamentals of Digital Logic,» Buy it.. Or borrow it» Other book:

More information

Unit 2 Session - 6 Combinational Logic Circuits

Unit 2 Session - 6 Combinational Logic Circuits Objectives Unit 2 Session - 6 Combinational Logic Circuits Draw 3- variable and 4- variable Karnaugh maps and use them to simplify Boolean expressions Understand don t Care Conditions Use the Product-of-Sums

More information

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego CSE4: Components and Design Techniques for Digital Systems Logic minimization algorithm summary Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing & Dr.Pietro Mercati Definition

More information

Midterm1 Review. Jan 24 Armita

Midterm1 Review. Jan 24 Armita Midterm1 Review Jan 24 Armita Outline Boolean Algebra Axioms closure, Identity elements, complements, commutativity, distributivity theorems Associativity, Duality, De Morgan, Consensus theorem Shannon

More information

Possible logic functions of two variables

Possible logic functions of two variables ombinational logic asic logic oolean algebra, proofs by re-writing, proofs by perfect induction logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,..., minimal set Logic realization

More information

Simplification of Boolean Functions. Dept. of CSE, IEM, Kolkata

Simplification of Boolean Functions. Dept. of CSE, IEM, Kolkata Simplification of Boolean Functions Dept. of CSE, IEM, Kolkata 1 Simplification of Boolean Functions: An implementation of a Boolean Function requires the use of logic gates. A smaller number of gates,

More information

Karnaugh Map & Boolean Expression Simplification

Karnaugh Map & Boolean Expression Simplification Karnaugh Map & Boolean Expression Simplification Mapping a Standard POS Expression For a Standard POS expression, a 0 is placed in the cell corresponding to the product term (maxterm) present in the expression.

More information

MODULAR CIRCUITS CHAPTER 7

MODULAR CIRCUITS CHAPTER 7 CHAPTER 7 MODULAR CIRCUITS A modular circuit is a digital circuit that performs a specific function or has certain usage. The modular circuits to be introduced in this chapter are decoders, encoders, multiplexers,

More information

Digital Logic Design. Combinational Logic

Digital Logic Design. Combinational Logic Digital Logic Design Combinational Logic Minterms A product term is a term where literals are ANDed. Example: x y, xz, xyz, A minterm is a product term in which all variables appear exactly once, in normal

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

ELC224C. Karnaugh Maps

ELC224C. Karnaugh Maps KARNAUGH MAPS Function Simplification Algebraic Simplification Half Adder Introduction to K-maps How to use K-maps Converting to Minterms Form Prime Implicants and Essential Prime Implicants Example on

More information

Combinational Logic Fundamentals

Combinational Logic Fundamentals Topic 3: Combinational Logic Fundamentals In this note we will study combinational logic, which is the part of digital logic that uses Boolean algebra. All the concepts presented in combinational logic

More information

ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #2

ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #2 ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #2 Instructor: Andrew B. Kahng (lecture) Email: abk@ucsd.edu Telephone: 858-822-4884 office, 858-353-0550 cell Office: 3802

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions.

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions. Combinational logic Possible logic functions of two variables Logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,... Minimal set xioms and theorems of oolean algebra Proofs by re-writing

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

Digital Circuit And Logic Design I. Lecture 3

Digital Circuit And Logic Design I. Lecture 3 Digital Circuit And Logic Design I Lecture 3 Outline Combinational Logic Design Principles (). Introduction 2. Switching algebra 3. Combinational-circuit analysis 4. Combinational-circuit synthesis Panupong

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions EE210: Switching Systems Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions Prof. YingLi Tian Feb. 15, 2018 Department of Electrical Engineering The City College of New York The

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates Digital Circuits & Systems Lecture Transparencies (Boolean lgebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean lgebra & Logic Gates Major topics Boolean algebra NND & NOR gates Boolean algebra

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 1 Gate Circuits and Boolean Equations

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 1 Gate Circuits and Boolean Equations Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hperlinks are active in

More information

Chapter 3. Boolean Algebra. (continued)

Chapter 3. Boolean Algebra. (continued) Chapter 3. Boolean Algebra (continued) Algebraic structure consisting of: set of elements B binary operations {+, -} unary operation {'} Boolean Algebra such that the following axioms hold:. B contains

More information

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both). hapter : oolean lgebra.) Definition of oolean lgebra The oolean algebra is named after George ool who developed this algebra (854) in order to analyze logical problems. n example to such problem is: Prove

More information

UNIT 4 MINTERM AND MAXTERM EXPANSIONS

UNIT 4 MINTERM AND MAXTERM EXPANSIONS UNIT 4 MINTERM AND MAXTERM EXPANSIONS Spring 2 Minterm and Maxterm Expansions 2 Contents Conversion of English sentences to Boolean equations Combinational logic design using a truth table Minterm and

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

Lecture 7: Karnaugh Map, Don t Cares

Lecture 7: Karnaugh Map, Don t Cares EE210: Switching Systems Lecture 7: Karnaugh Map, Don t Cares Prof. YingLi Tian Feb. 28, 2019 Department of Electrical Engineering The City College of New York The City University of New York (CUNY) 1

More information

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman CS 121 Digital Logic Design Chapter 2 Teacher Assistant Hanin Abdulrahman 1 2 Outline 2.2 Basic Definitions 2.3 Axiomatic Definition of Boolean Algebra. 2.4 Basic Theorems and Properties 2.5 Boolean Functions

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 06 October 24, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Combinational Logic Design Principles

Combinational Logic Design Principles Combinational Logic Design Principles Switching algebra Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Switching algebra Axioms of switching algebra Theorems

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Contents. Chapter 3 Combinational Circuits Page 1 of 36 Chapter 3 Combinational Circuits Page of 36 Contents Combinational Circuits...2 3. Analysis of Combinational Circuits...3 3.. Using a Truth Table...3 3..2 Using a Boolean Function...6 3.2 Synthesis of

More information

Simplifying Logic Circuits with Karnaugh Maps

Simplifying Logic Circuits with Karnaugh Maps Simplifying Logic Circuits with Karnaugh Maps The circuit at the top right is the logic equivalent of the Boolean expression: f = abc + abc + abc Now, as we have seen, this expression can be simplified

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary Slide Set 3 for ENEL 353 Fall 2016 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Fall Term, 2016 SN s ENEL 353 Fall 2016 Slide Set 3 slide

More information

UNIT 3 BOOLEAN ALGEBRA (CONT D)

UNIT 3 BOOLEAN ALGEBRA (CONT D) UNIT 3 BOOLEAN ALGEBRA (CONT D) Spring 2011 Boolean Algebra (cont d) 2 Contents Multiplying out and factoring expressions Exclusive-OR and Exclusive-NOR operations The consensus theorem Summary of algebraic

More information

Digital Logic Design ABC. Representing Logic Operations. Dr. Kenneth Wong. Determining output level from a diagram. Laws of Boolean Algebra

Digital Logic Design ABC. Representing Logic Operations. Dr. Kenneth Wong. Determining output level from a diagram. Laws of Boolean Algebra Digital Logic Design ENGG1015 1 st Semester, 2011 Representing Logic Operations Each function can be represented equivalently in 3 ways: Truth table Boolean logic expression Schematics Truth Table Dr.

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Goal: To obtain the simplest implementation for a given function Optimization is a more formal

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

Fundamentals of Boolean Algebra

Fundamentals of Boolean Algebra UNIT-II 1 Fundamentals of Boolean Algebra Basic Postulates Postulate 1 (Definition): A Boolean algebra is a closed algebraic system containing a set K of two or more elements and the two operators and

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. Overview Part 1 Gate

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

Chapter-2 BOOLEAN ALGEBRA

Chapter-2 BOOLEAN ALGEBRA Chapter-2 BOOLEAN ALGEBRA Introduction: An algebra that deals with binary number system is called Boolean Algebra. It is very power in designing logic circuits used by the processor of computer system.

More information

Optimizations and Tradeoffs. Combinational Logic Optimization

Optimizations and Tradeoffs. Combinational Logic Optimization Optimizations and Tradeoffs Combinational Logic Optimization Optimization & Tradeoffs Up to this point, we haven t really considered how to optimize our designs. Optimization is the process of transforming

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

EEE130 Digital Electronics I Lecture #4

EEE130 Digital Electronics I Lecture #4 EEE130 Digital Electronics I Lecture #4 - Boolean Algebra and Logic Simplification - By Dr. Shahrel A. Suandi Topics to be discussed 4-1 Boolean Operations and Expressions 4-2 Laws and Rules of Boolean

More information

Slide Set 6. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Slide Set 6. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary Slide Set 6 for ENEL 353 Fall 2017 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Fall Term, 2017 SN s ENEL 353 Fall 2017 Slide Set 6 slide

More information

Karnaugh Maps ف ر آ ا د : ا ا ب ا م آ ه ا ن ر ا

Karnaugh Maps ف ر آ ا د : ا ا ب ا م آ ه ا ن ر ا Karnaugh Maps مخطط آارنوف اعداد:محمد اسماعيل آلية علوم الحاسوب جامعة امدرمان الاهلية الاهداء الي آل من يسلك طريق العلم والمعرفة في هذا المجال Venn Diagrams Venn diagram to represent the space of minterms.

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 6 - Combinational Logic Introduction A combinational circuit consists of input variables, logic gates, and output variables. The logic gates accept

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering Boolean Algebra Boolean Algebra A Boolean algebra is defined with: A set of

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

Signals and Systems Digital Logic System

Signals and Systems Digital Logic System Signals and Systems Digital Logic System Prof. Wonhee Kim Chapter 2 Design Process for Combinational Systems Step 1: Represent each of the inputs and outputs in binary Step 1.5: If necessary, break the

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

CS 226: Digital Logic Design

CS 226: Digital Logic Design CS 226: Digital Logic Design 0 1 1 I S 0 1 0 S Department of Computer Science and Engineering, Indian Institute of Technology Bombay. 1 of 29 Objectives In this lecture we will introduce: 1. Logic functions

More information

Chapter 2 Combinational

Chapter 2 Combinational Computer Engineering 1 (ECE290) Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations HOANG Trang Reference: 2008 Pearson Education, Inc. Overview Part 1 Gate Circuits and Boolean

More information

Minimization techniques

Minimization techniques Pune Vidyarthi Griha s COLLEGE OF ENGINEERING, NSIK - 4 Minimization techniques By Prof. nand N. Gharu ssistant Professor Computer Department Combinational Logic Circuits Introduction Standard representation

More information

Every time has a value associated with it, not just some times. A variable can take on any value within a range

Every time has a value associated with it, not just some times. A variable can take on any value within a range Digital Logic Circuits Binary Logic and Gates Logic Simulation Boolean Algebra NAND/NOR and XOR gates Decoder fundamentals Half Adder, Full Adder, Ripple Carry Adder Analog vs Digital Analog Continuous»

More information