Motivation. CS/EE 3700 : Fundamentals of Digital System Design

Size: px
Start display at page:

Download "Motivation. CS/EE 3700 : Fundamentals of Digital System Design"

Transcription

1 Motivation CS/EE 37 : Funamentals o Digital System Design Chris J. Myers Lecture 4: Logic Optimization Chapter 4 Algebraic manipulation is not systematic. This chapter presents methos that can be automate in CAD tools. Although tools use or logic optimization, esigners must unerstan the process. Figure 4. The unction = Σ m(, 2, 4, 5, 6) x x 2 m m m 2 m 3 x m m 2 m m 3 (a) Truth table (b) Karnaugh map Figure 4.2 Location o two-variable minterms Figure 2.5 A unction to be synthesize x m m m 2 m 3 m 4 m 5 m 6 m 7 x m m m 3 m 2 m 6 m 7 (b) Karnaugh map m 4 m 5 (a) Truth table Figure 4.4 Location o three-variable minterms

2 x x x x 2 3 m m m 5 m 3 m 2 m 6 m 4 m 2 m 3 m 8 m 9 m 7 m 5 m m 4 m x Figure 4.6 A our-variable Karnaugh map x x 2

3 x x x = 5 x x = 5 Figure 4.8 A ive-variable Karnaugh map Terminology A variable either uncomplemente our complemente is calle a literal. A prouct term that inicates when a unction is equal to is calle an implicant. An implicant that cannot have any literal elete an still be a vali implicant is calle a prime implicant. x x 2 Figure 4.9 Three-variable unction = Σ m(,, 2, 3, 7) Terminology (cont) A collection o implicants that accounts or all input combinations in which a unction evaluates to is calle a cover. An essential prime implicant inclues a minterm covere by no other prime. Cost is number o gates plus number o gate inputs. Assume primary inputs available in both true an complemente orm. Minimization Proceure Generate all prime implicants. Fin all essential prime implicants. I essential primes o not orm a cover, then select minimal set o non-essential primes. 3

4 x x Figure 4. Four-variable unction = Σ m(2, 3, 5, 6, 7,,, 3, 4) Figure 4. The unction = Σ m(, 4, 8,,, 2, 3, 5) x Minimization o POS Forms Fin a cover o the s an orm maxterms. x x x 2 3. Figure 4.2 The unction = Σ m(, 2, 4, 5,,, 3, 5) x x x x Incompletely Speciie Functions Oten certain input conitions cannot occur. Impossible inputs are calle on t cares. A unction with on t cares is calle an incompletely speciie unction. Don t cares can be use to improve the quality o the logic esigne. Figure 4.4 POS minimization o = Π M(,, 4, 8, 9, 2, 5) 4

5 x x Figure 4.5 Two implementations o = Σ m(2, 4, 5, 6, ) + D(2, 3, 4, 5) Figure 4.5 Two implementations o = Σ m(2, 4, 5, 6, ) + D(2, 3, 4, 5) Multiple-Output Circuits Necessary to implement multiple unctions. Circuits can be combine to obtain lower cost solution by sharing some gates. x (a) Function x (b) Function 2 Figure 4.6 An example o multiple-output synthesis x x 2 (c) Combine circuit or an 2 x (a) Optimal realization o 3 (b) Optimal realization o 4 Figure 4.7 An example o multiple-output synthesis x 5

6 x x (c) Optimal realization o 3 an 4 together x x x 3 4 () Combine circuit or 3 an 4 Figure 4.7 An example o multiple-output synthesis x x 3 (a) Function x x 3 (b) Function 2 x (a) Optimal realization o x 3 (b) Optimal realization o 4 x x (a) x = x + x x x x x (b) x + = x x x Figure 4.8 DeMorgan s theorem in terms o logic gates Figure 4.9 Using NAND gates to implement a sum-o-proucts 6

7 x x Multilevel Synthesis x SOP or POS circuits have 2-levels o gates. Only eicient or unctions with ew inputs. Many inputs can lea to an-in problems. Multilevel circuits can also be more area eicient. Figure 4.2 Using NOR gates to implement a prouct-o-sums (rom interconnection wires) x unuse PAL-like block D Q x x A x 6 C x 5 E C B x 7 A B D D E Figure 4.2 Implementation in a CPLD Figure 4.22 Implementation in an FPGA 7 inputs x Figure 4.23 Using 4-input AND gates to realize a 7-input prouct term Figure 4.24 A actore circuit 7

8 Example 4.5 x 2 Figure 4.25 A multilevel circuit Impact on Wiring Complexity Space on chip is use by gates an wires. Wires can be a signiicant portion. Each literal correspons to a wire. Factoring reuces literal count, so it can also reuce wiring complexity. Functional Decomposition Multilevel circuits oten require less area. Complexity is reuce by ecomposing 2-level unction into subcircuits. Subcircuit implements unction that may be use in multiple places. Example 4.6 x g Figure 4.26 A multilevel circuit 8

9 x x x g x g = = h Figure 4.27 The structure o a ecomposition x x x g x (a) Sum-o-proucts implementation k x (b) NAND gate implementation Figure 4.28 An example o ecomposition Figure 4.29 a Implementation o XOR Example 4.8 = x = x + x = x (x + ) + (x + ) x g x (c) Optimal NAND gate implementation Figure 4.29 b Implementation o XOR 9

10 Practical Issues Functional ecomposition can be use to implement general logic unctions in circuits with built-in constraints. Enormous numbers o possible subunctions leas to necessity or heuristic algorithms. x x (a) Circuit with AND an OR gates (b) Inversions neee to convert tonands Figure 4.3 Conversion to a NAND-gate circuit x x (b) Inversions neee to convert tonands x (a) Circuit with AND an OR gates x (a) Inversions neee to convert tonors Figure 4.3 Conversion to a NAND-gate circuit Figure 4.3 Conversion to a NOR-gate circuit x x P P 3 P 4 P 5 x P 2 Figure 4.3 Conversion to a NOR-gate circuit Figure 4.32 Circuit example or analysis

11 x P P 9 x P x P2 x2 P 4 x3 P 3 x3 P 2 P 3 P 7 P 6 P 8 P x4 (a) NAND-gate circuit x4 x5 (b) Moving bubbles to convert to ANDs an ORs P 5 x x2 x3 x4 x5 (c) Circuit with AND an OR gates Figure 4.33 Circuit example or analysis Figure 4.34 Circuit example or analysis x P P 2 P 4 CAD Tools P 3 espresso ins exact an heuristic solutions to the 2-level synthesis problem. sis perorms multilevel logic synthesis. Numerous commercial CAD packages are available rom Caence, Mentor, Synopsys, an others. Figure 4.35 Circuit example or analysis Design conception Design entry, initial synthesis, an unctional simulation (see section 2.8) Logic synthesis/optimization Physical esign Timing simulation No Design correct? Yes Chip coniguration Figure 4.46 A complete CAD system Figure 4.42 VHDL coe or the unction = Σ m(, 4, 5, 6)

12 Physical Design Physical esign etermines how logic is to be implemente in the target technology. Placement etermines where in target evice a logic unction is realize. Routing etermines how evices are to be interconnecte using wires. Figure 4.43 Logic synthesis options in MAX+PLUS II Timing Simulation Functional simulation oes not consier signal propagation elays. Ater physical esign, more accurate timing inormation is available. Timing simulation can be use to check i a esign meets perormance requirements. Figure 4.44 Results o physical esign Design conception Design entry, initial synthesis, an unctional simulation (see section 2.8) (a) Timing in an FPGA Logic synthesis/optimization Physical esign Timing simulation No Design correct? Yes (b) Timing in a CPLD Chip coniguration Figure 4.45 Timing simulation results Figure 4.46 A complete CAD system 2

13 STD_LOGIC type Deine in ieee.st_logic_64 package. Enumerate type with 9 values. strong one strong zero X strong unknown Z high impeence H weak one W weak unknown L weak zero U uninitialize - on t care We will almost always use STD_LOGIC. Figure 4.47 VHDL coe using STD_LOGIC (rom interconnection wires) x unuse PAL-like block D Q Figure 4.48 VHDL coe or the unction = Σ m(, 2, 4, 5, 6) Figure 4.49 Implementation o the VHDL coe or the unction = Σ m(, 2, 4, 5, 6) (rom interconnection wires) x unuse i i 2 i 3 i 4 PAL-like block D Q x i i 2 i 3 i 4 LUT Figure 4.5 Implementation using XOR synthesis ( = x ) Figure 4.5 VHDL coe or = Σ m(, 2, 4, 5, 6) implemente in a LUT 3

14 Figure 4.52 The VHDL coe or = Σ m(2, 3, 9,,, 3) Figure 4.53 VHDL coe or a 7-variable unction x x x x + Logic Function Representation x x (a) Sum-o-proucts realization (b) Factore realization Truth tables Algebraic expressions Venn iagrams Karnaugh maps n-imensional cubes Figure 4.54 Two implementations o a 7-variable unction x x x x x x xx x x x x Figure 4.36 Representation o = Σ m(, 2, 3) Figure 4.37 Representation o = Σ m(, 2, 4, 5, 6) 4

15 n-dimensional Hypercube xx x xx Function o n variables maps to n-cube. Size o a cube is number o vertices. A cube with k x s consists o 2 k vertices. n-cube has 2 n vertices. 2 vertices are ajacent i they ier in one coorinate. Each vertex in n-cube ajacent to n others. Figure 4.38 Representation o = Σ m(, 2, 3, 6, 7, 8,, 5) C = A * B such that. C = i A i * B i = or more than one i. 2. Otherwise, C i = A i * B i when A i * B i an C i = x or the coorinate where A i * B i =. B A i i x x o o x A i * B i Using *-operation to Fin Primes is speciie using a set o cubes, C k o. Let c i an c j be any two cubes in C k. Apply *-operation to all pairs o cubes in C k : G k+ = c i * c j or all c i, c j in C k Form new cover or as ollows: C k+ = C k G k+ reunant cubes A is reunant i exists a B s.t. A i = B i or B i = x or all i. Repeat until C k+ = C k. Figure 4.39 The coorinate *-operation Example 4.4 Example 4.5 5

16 C = A # B, such that. C = A i A i # B i = or some i. 2. C = i A i # B i = ε or all i. 3. Otherwise, C = i (A, A 2,..., B i,... A n ), where the union is or all i or which A i = x an B i x. B A i i x x ε o ε o ε ε ε A i # B i Fining Essential Primes Let P be set o all prime implicants. Let p i enote one prime implicant in P. Let DC enote the on t cares vertices or. Then p i is an essential prime implicant i: p i # (P p i ) # DC Figure 4.4 The coorinate #-operation Example 4.6 Example 4.7 Proceure to Fin Minimal Cover Let C = ON DC be the initial cover o. Fin all primes, P, o C using *-operation. Fin the essential primes using #-operation. I essentials cover ON-set then one else Delete any nonessential prime that is more expensive than some other prime. Use branching technique to select lowest cost primes which cover ON-set. x x = = Figure 4.4 An example our-variable unction 6

17 Summary Describe 2-level logic synthesis methos. Discusse multilevel logic synthesis. Introuce CAD tools or logic synthesis. 7

Chapter 4 Optimized Implementation of Logic Functions

Chapter 4 Optimized Implementation of Logic Functions Chapter 4 Optimized Implementation of Logic Functions Logic Minimization Karnaugh Maps Systematic Approach for Logic Minimization Minimization of Incompletely Specified Functions Tabular Method for Minimization

More information

CS 226: Digital Logic Design

CS 226: Digital Logic Design CS 226: Digital Logic Design 0 1 1 I S 0 1 0 S Department of Computer Science and Engineering, Indian Institute of Technology Bombay. 1 of 29 Objectives In this lecture we will introduce: 1. Logic functions

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN :: DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall Lecture #: Combinational Circuit Synthesis II hat if we have input variables? V = V = of Example with variables

More information

L4: Karnaugh diagrams, two-, and multi-level minimization. Elena Dubrova KTH / ICT / ES

L4: Karnaugh diagrams, two-, and multi-level minimization. Elena Dubrova KTH / ICT / ES L4: Karnaugh diagrams, two-, and multi-level minimization Elena Dubrova KTH / ICT / ES dubrova@kth.se Combinatorial system a(t) not(a(t)) A combinatorial system has no memory - its output depends therefore

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

CMSC 313 Preview Slides

CMSC 313 Preview Slides CMSC 33 Preview Slies These are raft slies. The actual slies presente in lecture may be ifferent ue to last minute changes, scheule slippage,... UMBC, CMSC33, Richar Chang CMSC 33 Lecture

More information

This form sometimes used in logic circuit, example:

This form sometimes used in logic circuit, example: Objectives: 1. Deriving of logical expression form truth tables. 2. Logical expression simplification methods: a. Algebraic manipulation. b. Karnaugh map (k-map). 1. Deriving of logical expression from

More information

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT):

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT): ENGI 386 Digital Logic II. COMBINATIONAL LOGIC DESIGN Combinational Logic output of digital system is only dependent on current inputs (i.e., no memory) (a) Boolean Algebra - developed by George Boole

More information

Working with Combinational Logic. Design example: 2x2-bit multiplier

Working with Combinational Logic. Design example: 2x2-bit multiplier Working with ombinational Logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and NORs

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

Logic Design 2013/9/26. Introduction. Chapter 4: Optimized Implementation of Logic Functions. K-map

Logic Design 2013/9/26. Introduction. Chapter 4: Optimized Implementation of Logic Functions. K-map 2/9/26 Loic Desin Chapter 4: Optimized Implementation o Loic Functions Introduction The combinin property allows us to replace two minterms that dier in only one variable with a sinle product term that

More information

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions EE210: Switching Systems Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions Prof. YingLi Tian Feb. 15, 2018 Department of Electrical Engineering The City College of New York The

More information

Unit 2 Session - 6 Combinational Logic Circuits

Unit 2 Session - 6 Combinational Logic Circuits Objectives Unit 2 Session - 6 Combinational Logic Circuits Draw 3- variable and 4- variable Karnaugh maps and use them to simplify Boolean expressions Understand don t Care Conditions Use the Product-of-Sums

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

WEEK 3.1 MORE ON KARNAUGH MAPS

WEEK 3.1 MORE ON KARNAUGH MAPS WEEK 3. MORE ON KARNAUGH MAPS Don t Cares Sometimes, we might have inputs and it doesn t matter what the output is; i.e., we don t care what the output is. These situations are called don t cares. Rather

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms Review EECS 150 - Components and Design Techniques for Digital Systems Lec 06 Minimizing Boolean Logic 9/16-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

SYNCHRONOUS SEQUENTIAL CIRCUITS

SYNCHRONOUS SEQUENTIAL CIRCUITS CHAPTER SYNCHRONOUS SEUENTIAL CIRCUITS Registers an counters, two very common synchronous sequential circuits, are introuce in this chapter. Register is a igital circuit for storing information. Contents

More information

Combinational Logic (mostly review!)

Combinational Logic (mostly review!) ombinational Logic (mostly review!)! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,... " Minimal set! xioms and theorems of oolean algebra " Proofs by re-writing " Proofs by perfect

More information

The Karnaugh Map COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals

The Karnaugh Map COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals The Karnaugh Map COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Boolean Function Minimization The Karnaugh Map (K-Map) Two, Three,

More information

Combinational Logic. Review of Combinational Logic 1

Combinational Logic. Review of Combinational Logic 1 Combinational Logic! Switches -> Boolean algebra! Representation of Boolean functions! Logic circuit elements - logic gates! Regular logic structures! Timing behavior of combinational logic! HDLs and combinational

More information

Digital Circuit And Logic Design I. Lecture 3

Digital Circuit And Logic Design I. Lecture 3 Digital Circuit And Logic Design I Lecture 3 Outline Combinational Logic Design Principles (). Introduction 2. Switching algebra 3. Combinational-circuit analysis 4. Combinational-circuit synthesis Panupong

More information

Logic Minimization. Two-Level. University of California. Prof. Srinivas Devadas. Prof. Richard Newton Prof. Sanjit Seshia. Prof.

Logic Minimization. Two-Level. University of California. Prof. Srinivas Devadas. Prof. Richard Newton Prof. Sanjit Seshia. Prof. Two-Level Logic Minimization Prof. Srinivas Devadas MIT Prof. Kurt Keutzer Prof. Richard Newton Prof. Sanjit Seshia University of California Berkeley, CA 1 Topics Motivation Boolean functions & notation

More information

EEE130 Digital Electronics I Lecture #4

EEE130 Digital Electronics I Lecture #4 EEE130 Digital Electronics I Lecture #4 - Boolean Algebra and Logic Simplification - By Dr. Shahrel A. Suandi Topics to be discussed 4-1 Boolean Operations and Expressions 4-2 Laws and Rules of Boolean

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Chapter 2 Combinational logic

Chapter 2 Combinational logic Chapter 2 Combinational logic Chapter 2 is very easy. I presume you already took discrete mathemtics. The major part of chapter 2 is boolean algebra. II - Combinational Logic Copyright 24, Gaetano Borriello

More information

Lecture A: Logic Design and Gates

Lecture A: Logic Design and Gates Lecture A: Logic Design and Gates Syllabus My office hours 9.15-10.35am T,Th or gchoi@ece.tamu.edu 333G WERC Text: Brown and Vranesic Fundamentals of Digital Logic,» Buy it.. Or borrow it» Other book:

More information

Combinatorial Logic Design Principles

Combinatorial Logic Design Principles Combinatorial Logic Design Principles ECGR2181 Chapter 4 Notes Logic System Design I 4-1 Boolean algebra a.k.a. switching algebra deals with boolean values -- 0, 1 Positive-logic convention analog voltages

More information

Possible logic functions of two variables

Possible logic functions of two variables ombinational logic asic logic oolean algebra, proofs by re-writing, proofs by perfect induction logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,..., minimal set Logic realization

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in

More information

Boolean Algebra and Logic Simplification

Boolean Algebra and Logic Simplification S302 Digital Logic Design Boolean Algebra and Logic Simplification Boolean Analysis of Logic ircuits, evaluating of Boolean expressions, representing the operation of Logic circuits and Boolean expressions

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

Week-I. Combinational Logic & Circuits

Week-I. Combinational Logic & Circuits Week-I Combinational Logic & Circuits Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other logic operators IC families and

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 2 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe100/ CPE100: Digital Logic Design I Section 1004: Dr. Morris Combinational Logic Design Chapter

More information

Textbook: Digital Design, 3 rd. Edition M. Morris Mano

Textbook: Digital Design, 3 rd. Edition M. Morris Mano : 25/5/ P-/70 Tetbook: Digital Design, 3 rd. Edition M. Morris Mano Prentice-Hall, Inc. : INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter 3 25/5/ P-2/70 Chapter 3 Gate-Level Minimization

More information

Digital Logic Design. Combinational Logic

Digital Logic Design. Combinational Logic Digital Logic Design Combinational Logic Minterms A product term is a term where literals are ANDed. Example: x y, xz, xyz, A minterm is a product term in which all variables appear exactly once, in normal

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Overview Part 1 Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 2 Following the slides of Dr. Ahmed H. Madian ذو الحجة 438 ه Winter

More information

Logic Design Combinational Circuits. Digital Computer Design

Logic Design Combinational Circuits. Digital Computer Design Logic Design Combinational Circuits Digital Computer Design Topics Combinational Logic Karnaugh Maps Combinational uilding locks Timing 2 Logic Circuit logic circuit is composed of: Inputs Outputs Functional

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Simplification of Boolean Functions. Dept. of CSE, IEM, Kolkata

Simplification of Boolean Functions. Dept. of CSE, IEM, Kolkata Simplification of Boolean Functions Dept. of CSE, IEM, Kolkata 1 Simplification of Boolean Functions: An implementation of a Boolean Function requires the use of logic gates. A smaller number of gates,

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Examples of Solved Problems CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander

More information

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Original Source: Aby K George, ECE Department, Wayne State University Contents The Map method Two variable

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization Dr. Bassem A. Abdullah Computer and Systems Department Lectures Prepared by Dr.Mona Safar, Edited and Lectured by Dr.Bassem A. Abdullah Outline 1. The Map Method 2. Four-variable

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information

WEEK 2.1 BOOLEAN ALGEBRA

WEEK 2.1 BOOLEAN ALGEBRA WEEK 2.1 BOOLEAN ALGEBRA 1 Boolean Algebra Boolean algebra was introduced in 1854 by George Boole and in 1938 was shown by C. E. Shannon to be useful for manipulating Boolean logic functions. The postulates

More information

UNIT 4 MINTERM AND MAXTERM EXPANSIONS

UNIT 4 MINTERM AND MAXTERM EXPANSIONS UNIT 4 MINTERM AND MAXTERM EXPANSIONS Spring 2 Minterm and Maxterm Expansions 2 Contents Conversion of English sentences to Boolean equations Combinational logic design using a truth table Minterm and

More information

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps EE210: Switching Systems Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps Prof. YingLi Tian Feb. 21/26, 2019 Department of Electrical Engineering The City College of New York

More information

Digital Circuit And Logic Design I. Lecture 4

Digital Circuit And Logic Design I. Lecture 4 Digital Circuit And Logic Design I Lecture 4 Outline Combinational Logic Design Principles (2) 1. Combinational-circuit minimization 2. Karnaugh maps 3. Quine-McCluskey procedure Panupong Sornkhom, 2005/2

More information

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman CS 121 Digital Logic Design Chapter 2 Teacher Assistant Hanin Abdulrahman 1 2 Outline 2.2 Basic Definitions 2.3 Axiomatic Definition of Boolean Algebra. 2.4 Basic Theorems and Properties 2.5 Boolean Functions

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions.

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions. Combinational logic Possible logic functions of two variables Logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,... Minimal set xioms and theorems of oolean algebra Proofs by re-writing

More information

Standard Expression Forms

Standard Expression Forms ThisLecture will cover the following points: Canonical and Standard Forms MinTerms and MaxTerms Digital Logic Families 24 March 2010 Standard Expression Forms Two standard (canonical) expression forms

More information

Combinational Logic Circuits Part II -Theoretical Foundations

Combinational Logic Circuits Part II -Theoretical Foundations Combinational Logic Circuits Part II -Theoretical Foundations Overview Boolean Algebra Basic Logic Operations Basic Identities Basic Principles, Properties, and Theorems Boolean Function and Representations

More information

Chapter 2 (Lect 2) Canonical and Standard Forms. Standard Form. Other Logic Operators Logic Gates. Sum of Minterms Product of Maxterms

Chapter 2 (Lect 2) Canonical and Standard Forms. Standard Form. Other Logic Operators Logic Gates. Sum of Minterms Product of Maxterms Chapter 2 (Lect 2) Canonical and Standard Forms Sum of Minterms Product of Maxterms Standard Form Sum of products Product of sums Other Logic Operators Logic Gates Basic and Multiple Inputs Positive and

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Goal: To obtain the simplest implementation for a given function Optimization is a more formal

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

ENGR 303 Introduction to Logic Design Lecture 3. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College

ENGR 303 Introduction to Logic Design Lecture 3. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College Introduction to Logic Design Lecture 3 Dr. Chuck rown Engineering and Computer Information Science Folsom Lake College Outline for Todays Lecture Logic Circuits SOP / POS oolean Theorems DeMorgan s Theorem

More information

CSE 140 Midterm I - Solution

CSE 140 Midterm I - Solution CSE 140 Midterm I - Solution 1. Answer the following questions given the logic circuit below. (15 points) a. (5 points) How many CMOS transistors does the given (unsimplified) circuit have. b. (6 points)

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates The most common postulates used to formulate various algebraic structures are: 1. Closure. N={1,2,3,4 }, for any a,b N we obtain a unique c N by the operation

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

Optimizations and Tradeoffs. Combinational Logic Optimization

Optimizations and Tradeoffs. Combinational Logic Optimization Optimizations and Tradeoffs Combinational Logic Optimization Optimization & Tradeoffs Up to this point, we haven t really considered how to optimize our designs. Optimization is the process of transforming

More information

Computer Organization I. Lecture 13: Design of Combinational Logic Circuits

Computer Organization I. Lecture 13: Design of Combinational Logic Circuits Computer Organization I Lecture 13: Design of Combinational Logic Circuits Overview The optimization of multiple-level circuits Mapping Technology Verification Objectives To know how to optimize the multiple-level

More information

Principles of Computer Architecture. Appendix B: Reduction of Digital Logic. Chapter Contents

Principles of Computer Architecture. Appendix B: Reduction of Digital Logic. Chapter Contents B-1 Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix B: Reduction of Digital Logic B-2 Chapter Contents B.1 Reduction of Combinational Logic and Sequential Logic B.2 Reduction

More information

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive March 30, 2010 John Wawrzynek Spring 2010 EECS150 - Lec19-cl1 Page 1 Boolean Algebra I (Representations of Combinational

More information

12/31/2010. Overview. 10-Combinational Circuit Design Text: Unit 8. Limited Fan-in. Limited Fan-in. Limited Fan-in. Limited Fan-in

12/31/2010. Overview. 10-Combinational Circuit Design Text: Unit 8. Limited Fan-in. Limited Fan-in. Limited Fan-in. Limited Fan-in Overview 10-ombinational ircuit esign Text: Unit 8 Gates with elays and Timing Other Hazards GR/ISS 201 igital Operations and omputations Winter 2011 r. Louie 2 Practical logic gates are limited by the

More information

Boolean Algebra & Logic Gates. By : Ali Mustafa

Boolean Algebra & Logic Gates. By : Ali Mustafa Boolean Algebra & Logic Gates By : Ali Mustafa Digital Logic Gates There are three fundamental logical operations, from which all other functions, no matter how complex, can be derived. These Basic functions

More information

Simpler Functions for Decompositions

Simpler Functions for Decompositions Simpler Functions or Decompositions Bernd Steinbach Freiberg University o Mining and Technology, Institute o Computer Science, D-09596 Freiberg, Germany Abstract. This paper deals with the synthesis o

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Boolean Logic Stephen A. Edwards Columbia University Summer 2015 Boolean Logic George Boole 1815 1864 Boole s Intuition Behind Boolean Logic Variables X,,... represent

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY Drill Problems 3 benches. Another practical book is VHDL for Programmable Logic, by Kevin Skahill of Cypress Semiconductor (Addison-esley, 1996). All of the ABEL and VHDL examples in this chapter and throughout

More information

EC-121 Digital Logic Design

EC-121 Digital Logic Design EC-121 Digital Logic Design Lecture 2 [Updated on 02-04-18] Boolean Algebra and Logic Gates Dr Hashim Ali Spring 2018 Department of Computer Science and Engineering HITEC University Taxila!1 Overview What

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates Huntington Postulates 1. (a) Closure w.r.t. +. (b) Closure w.r.t.. 2. (a) Identity element 0 w.r.t. +. x + 0 = 0 + x = x. (b) Identity element 1 w.r.t.. x 1 =

More information

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations Introduction to Electrical Engineering, II LETURE NOTES #2 Instructor: Email: Telephone: Office: ndrew. Kahng (lecture) abk@ucsd.edu 858-822-4884 office 3802 P&M lass Website: http://vlsicad.ucsd.edu/courses/ece20b/wi04/

More information

Digital Design. Digital Design

Digital Design. Digital Design Principles Of Digital Design Chapter 3 Boolean Algebra and Logic Design Boolean Algebra Logic Gates Digital Design Implementation Technology ASICs Gate Arrays Basic Algebraic Properties A set is a collection

More information

ELC224C. Karnaugh Maps

ELC224C. Karnaugh Maps KARNAUGH MAPS Function Simplification Algebraic Simplification Half Adder Introduction to K-maps How to use K-maps Converting to Minterms Form Prime Implicants and Essential Prime Implicants Example on

More information

EECS Variable Logic Functions

EECS Variable Logic Functions EECS150 Section 1 Introduction to Combinational Logic Fall 2001 2-Variable Logic Functions There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs X

More information

Computer Organization I

Computer Organization I Computer Organization I Lecture 6: Boolean Algebra /2/29 Wei Lu CS283 Overview Two Principles in Boolean Algebra () Duality Principle (2) Complement Principle Standard Form of Logic Expression () Sum of

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Boolean Logic Stephen A. Edwards Columbia University Fall 2011 Boolean Logic George Boole 1815 1864 Boole s Intuition Behind Boolean Logic Variables x, y,... represent

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Boolean Logic Stephen A. Edwards Columbia University Summer 2017 Boolean Logic George Boole 1815 1864 Boole s Intuition Behind Boolean Logic Variables,,... represent classes

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Lecture 5. Karnaugh-Map

Lecture 5. Karnaugh-Map Lecture 5 - Lecture 5 Karnaugh-Map Lecture 5-2 Karnaugh-Map Set Logic Venn Diagram K-map Lecture 5-3 K-Map for 2 Variables Lecture 5-4 K-Map for 3 Variables C C C Lecture 5-5 Logic Expression, Truth Table,

More information

14:332:231 DIGITAL LOGIC DESIGN. Combinational Circuit Synthesis

14:332:231 DIGITAL LOGIC DESIGN. Combinational Circuit Synthesis :: DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering all Lecture #: Combinational Circuit Synthesis I Combinational Circuit Synthesis Recall: Combinational circuit

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES

CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES This chapter in the book includes: Objectives Study Guide 7.1 Multi-Level Gate Circuits 7.2 NAND and NOR Gates 7.3 Design of Two-Level Circuits Using

More information

Combinational Logic Fundamentals

Combinational Logic Fundamentals Topic 3: Combinational Logic Fundamentals In this note we will study combinational logic, which is the part of digital logic that uses Boolean algebra. All the concepts presented in combinational logic

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ NAND and NOR Logic Networks CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ NAND and NOR Logic Networks CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates CSA051 - Digital Systems 數位系統導論 Chapter 2 Boolean Algebra and Logic Gates 吳俊興國立高雄大學資訊工程學系 Chapter 2. Boolean Algebra and Logic Gates 2-1 Basic Definitions 2-2 Axiomatic Definition of Boolean Algebra 2-3

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions ombinational logic Possible logic functions of two variables asic logic oolean algebra, proofs by re-writing, proofs by perfect induction Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,...,

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information