Etching terminology. d mask. h film. film substrate. d film. bias B. anisotropy A. etch mask. B d f - d m (i.e., twice the undercut) A film

Size: px
Start display at page:

Download "Etching terminology. d mask. h film. film substrate. d film. bias B. anisotropy A. etch mask. B d f - d m (i.e., twice the undercut) A film"

Transcription

1 Etching terminology h ilm d mask etch mask ilm substrate d mask bias B B d - d m (i.e., twice the undercut) anisotropy A d ilm d ilm A ilm 1 - v l / v v v l lateral etch rate v v vertical etch rate or ilms etched just to completion A = 1 - B / 2h h ilm thickness A = 0 isotropic A = 1 perectly anisotropic Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

2 Impact undercut and eature aspect ratio d mask h ilm d ilm d ilm d ilm d ilm example: want ilm to have equal lines and spaces ater etch can we compensate or bias (undercut) by adjusting the mask? recall B = d d m «d m = d - B but or ilms etched just to completion B = 2 h ( 1 - A ) so h d mask d d h / d is the eature aspect ratio since d m > 0 h ( A ) = Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin ( 1 A ) > d A ( h d ) i aspect ratio is << 1 anisotropy is not needed i aspect ratio is >> 1 need high anisotropy (i.e., A ~ 1)!

3 Example: undercut and eature aspect ratio d mask h ilm d ilm d ilm d ilm d ilm example: want ilm to have equal lines and spaces ater ISOTROPIC etch or isotropic etch, etched just to completion, undercut = ilm thickness compensate or bias (undercut) by adjusting the mask eatures here d = d m + 2h «d m = d -2h or d mask = h d 1 2 d h / d is the eature aspect ratio h / d = 0.1 Í d mask = 0.8 * d ilm h / d = 0.5 Í d mask = 0!!!!!! i aspect ratio is 0.5 you cannot make equal lines / spaces using an isotropic etch!!!! Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

4 Selectivity o etches need etch that removes ilm much aster than either the etch mask or substrate required selectivities depend on uniormity o ilm thickness uniormity o etch rates anisotropy o etch rates overetch required acceptable loss o linewidth acceptable substrate loss Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

5 Selectivity and Over-etch time how long must you etch? etch time = ilm thickness / ilm etch rate BUT what i ilm thickness is not uniorm? etch time = largest ilm thickness / ilm etch rate example: conormal ilm over a step, perectly anisotropic etch h nominal etch time t = h / v h step h step must continue etch or time = h step / v ilm to clear residue total etch time is then T = h /v + h step /v = h /v ( 1 + ) is the ractional over-etch time, here = h step / h ilm Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

6 Film -to- substrate selectivity does over-etch matter? what about substrate exposed during over-etch period? over-etch etch time t = h step / v h sub = t v sub substrate is lost during overetch h sub = t over v sub = ( h step / v ) v sub = h step (v sub / v ) but v / v sub is the ilm-to-substrate selectivity S s, so S s = h step / h sub recalling = h step / h ilm we get S s = ( h ilm / h sub ) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

7 Eects o non-uniormities what i things aren t all uniorm: etch rate: v (1 ± φ ) thickness: h (1 ± δ ) h ( 1 ± δ ) so now etch time is t = 1+ v 1 ± φ ( 1+δ ) ( 1+ ) ( 1 φ ) ( ) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin ( 1 δ ) ( 1+φ ) ( ) ( 1+δ ) ( ) ( + ) 1 φ h = 1 v to ensure complete etch you must use the longest time: t how long is the substrate exposed? shortest time substrate could be coverd by ilm: consider thinnest ilm removed at astest ilm etch rate! h ( 1 δ ) t covered = v 1+ φ so the substrate is exposed to the etch or a time so the amount o substrate lost is t exposed ( ) ( ) h h +δ + δ v s s = vs t exposed = vs = h v v ( ) { 1 φ 1 +φ = h S s 1 ( ) ( 1+δ ) ( ) ( ) h ( 1 δ ) 1+ 1 φ v ( 1+φ ) v total etch time time sub. covered ( ) ( )

8 Example results or ilm - substrate selectivity ( δ ) + δ ( 2 + ) 1 ( φ ) v h φ S s = = 2 vs hs + h h s selectivity = 2 = 1 = 0.1 etch rate uniormity φ = 0.1 ilm thickness uniormity δ = 0.05 note h / h s tends to be BIG you may not be willing to lose much substrate! h / h s Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

9 Film -to- mask selectivity mask ilm perectly anisotropic vertical etch, both mask and ilm v vert, mask v vert, ilm A ilm = 1, but A mask < 1 no linewidth loss A ilm < 1, A mask < 1 v horz, mask v vert, mask vhorz, mask v vert, mask v vert, ilm v vert, ilm O ilm O mask loss o linewidth W = O mask - O ilm v horz, ilm need to consider loss o linewidth due to mask erosion unction o mask edge proile and anisotropy o etch (both mask and ilm!) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

10 Film -to- mask selectivity need to consider loss o linewidth due to mask erosion unction o: anisotropy o etch (both mask and ilm!) also a unction o the mask edge proile v horz, mask v vert, mask v vert, ilm W/2 should also include impact o various non-uniormites ilm thickness: h (1 ± δ ) etch rates: mask: v m (1 ± φ m ) ilm: v (1 ± φ ) v horz, ilm Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

11 Film -to- mask selectivity v horz, mask v vert, mask v vert, ilm assume non- uniormities: perectly etch rate: v (1 ± φ ) anisotropic etch o ilm thickness: h (1 ± δ ) to ensure complete etch you must use the longest time: t etch thickest h ( 1 + δ ) = 1 v ( ) φ ractional slowest etch ilm rate ( + ) over etch during this time two terms contribute to lateral mask erosion: vertical etch o mask combined with slope W/2 θ δx vert δz δ x vert δ z = vvert, = cos sin θ θ mask δz δt plus simple horizontal etch total mask erosion is just sum o terms: cos θ δ xtot = vhorz, mask δt + vvert, sin θ mask δt Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

12 Film -to- mask selectivity so total mask edge movement is or W = 2 v vert, mask ( 1 + φ ) vhorz, mask vvert, mask A mask let s use worst case mask etch so W W h + cot θ v ( v horz, mask + v vert mask θ) t etch = cot 2, ( 1 + δ ) ( ) ( 1 + ) 1 φ ( 1 + δ ) ( 1 φ ) v h mask m = 2 ( [ 1 Amask ] + cot θ) 1 v S m ( + ) or S m = 2 h ( W 1+φ m ) ( 1+δ ) 1+ 1 φ ( ) ( ) ( [ 1 A mask ]+ cotθ ) NOTE THIS ASSUMED PERFECTLY ANISOTROPIC ETCH OF FILM!!! Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

13 Sample results or ilm - mask selectivity etch rate uniormity φ & φ = 0.1 m ilm thickness uniormity δ = 0.05 selectivity = 1, A m = 0, θ = 60Û = 1, A m = 0, θ = 90Û = 0.2, A m = 0, θ = 60Û = 1, A m = 1, θ = 60Û = 0.2, A m = 1, θ = 60Û 0 = 1, A m = 1, θ = 90Û h / W Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

14 Lit-o patterning no-etch thin ilm patterning process do the lithography BEFORE ilm deposition extensively developed by IBM in the late 70 s or patterning o metals Au and Pb or Josephson junction computers! [1] C. Li and J. Richards, A High Resolution Double Layer Photoresist Structure or Lit-O Technology, presented at IEDM, Washington, DC, [2] M. Hatzakis, B. J. Canavello, and J. M. Shaw, Single-Step Optical Lit-O Process, IBM Journal o Research and Development, vol. 24, pp , [3] R. M. Halverson, M. W. MacIntyre, and W. T. Motsi, The Mechanism o Single-Step Lito with Chlorobenzene in a Diazo-Type Resist, IBM Journal o Research and Development, vol. 26, pp , [4] G. G. Collins and C. W. Halsted, Process Control o the Chlorobenzene Single-Step Lito Process with a Diazo-Type Resist, IBM Journal o Research and Development, vol. 26, pp , [5] P. L. Pai and W. G. Oldham, A Lito Process Using Edge Detection (LOPED), IEEE Transactions on Semiconductor Manuacturing, vol. 1, pp. 3-9, [6] Y. Homma, A. Yajima, and S. Harada, Feature Size Limit o Lito Metallization Technology, IEEE Transactions on Electron Devices, vol. ED-29, pp , [7] S. Lyman, J. Jackel, and P. Liu, Lit-o o thick metal layers using multilayer resist, Journal o Vacuum Science Technology B, vol. 19, pp , [8] K. Grebe, I. Ames, and A. Ginzberg, Masking o Deposited Thin Films by Means o an Aluminum-Photoresist Composite, Journal o Vacuum Science Technology B, vol. 11, pp. 458, Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

15 Basic lit-o concept use resist with a re-entrant cross-sectional proile use deposition process with poor step coverage now use solvent to dissolve resist, liting o the material to be removed problem: resist edge proile requently is not re-entrant Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

16 Chlorobenzene process objective: produce a lip on the surace o the photoresist chlorobenzene soak process soaking photoresist in solvent alters exposure or/and development characteristics process: apply resist, expose soak in chlorobenzene develop exposure Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

17 Bilayer lit-o use two separate layers bottom layer: sacriicial undercut top layer to orm lip 1st layer resist buer layer substrate (a) buer layer 2nd layer resist (b) two layers o resist (c) bottom layer o resist photoresist bridge (d) undercut top layer o resist (e) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

18 Wet chemical etching dominant etch process through late 1970 s tends to be isotropic A ~ 0 exception: anisotropic crystal etches or silicon etch rates along various crystal directions can vary widely (111) tends to be slowest tends to produce high selectivities Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

19 Oxide wet etch basic oxide etch: hydroluoric acid (HF) SiO 2 + 6HF Í H 2 SiF 6 + 2H 2 O BHF: buering agent (NH 4 F) requently added to keep [HF 2 - ] constant etch rate thermally grown oxide: ~ ew tenth s micron per minute PECVD deposited oxide: ew times aster than thermal doped oxides (BSG, PSG): ~2-5X aster than thermal selectivities very high to photoresist undercutting or resist adhesion ailure biggest problem or long etches very high to silicon Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

20 Silicon nitride wet etch HF, BHF etch typically much slower than oxide etch rates slowest or high quality, oxygen ree nitride rate in concentrated HF ranges rom micron / minute about 10x slower in BHF obviously no selectivity to oxide boiling H 3 PO 4 (phosphoric acid) nitride etch rate ~ 0.01 micron / minute oxide etch rate ~ micron / minute silicon etch rate ~ micron / minute Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

21 Isotropic silicon wet etch: HNA mixture o HF (hydroluoric acid), HNO 3 (nitric acid), and CH 3 C00H (acetic acid) basic mechanism injection o holes into Si to orm Si 2+ ( anodic reaction) attachment o OH - to the Si 2+ to orm Si(OH) 2 2+ (oxidation step) reaction o the hydrated silica to orm soluble product luoride ions rom HF orm H 2 SiF 6 dissolution o products into solution overall reaction or HNA: 18HF + 4HNO 3 + 3Si Í 2H 2 SiF 6 + 4NO (gas) +8H 2 O recall mechanism required holes: HNO 2 + HNO 3 + H 2 O Í 2HNO 2 + 2OH - + 2h + note this is an electrochemical reaction is inluenced by dopant type and concentration, as well as any external applied electrical bias Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

22 HNA ormulations HF : HNO 3 : water/ch 3 COOH 1 : 3 : 8 etch rate: microns/minute doping dependence: light doping < REDUCES rate ~ 150X SiO 2 etch rate: 0.03 microns/minute 1 : 2 : 1 etch rate: 4 microns/minute doping dependence: none SiO 2 etch rate:? 1 : 8.3 : 3.3 etch rate: 7 microns/minute doping dependence: none SiO 2 etch rate: 0.07 microns/minute Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

23 Crystallographic etching recall crystal lattice is ace centered cubic (FCC), with two atom basis [at (0,0,0) and (1/4, 1/4, 1/4) ] two interpenetrating FCC lattices a (100) (110) (111) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

24 (111) planes (111) planes etch the slowest, tend to be cleavage planes (111) wrt (100) (110) edge (111) atomic plane (111) atomic plane (100) plane edge o pit lines up with (110) (110) edge Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

25 Masking assume bulk crystalline (100) silicon substrate combined with anisotropic etch results in pyramidal shape bounding (111) planes can be reached using a variety o mask shapes square mask opening, (100) waer orientation, side o square is aligned to the (110) lat what happens i you use a circular mask opening? undercutting o the mask occurs until the (111) planes are reached still orms a pyramidal pit! Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

26 Other mask openings in general mask is undercut until (111) planes are reached bars undercut until bounding planes are reached cross -shaped mask opening will also undercut to orm pyramidal pit Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

27 Other shapes? have to have a texana shape Texas star Longhorn obtuse corner cross dierent bending stress / properties Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

28 Other orientations note (110) planes are perpendicular to one another (110) anisotropic etch rates astest to slowest (110) : (100) : (111) can etch rectangular trenches in (110) orientated substrates sides o trenches are (110) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

29 Anisotropic wet etch ormulations alkali metal hydroxide etchants examples: KOH, NaOH typically 15-40w% concentration, dilutant water or isopropanol, ~70 C proposed reactions: Si + 2OH - Í Si(OH) e - 4H 2 O + 4e - Í 4OH - + 2H 2 (gas) Si(OH) OH - Í SiO 2 (OH) H 2 O overall: Si + 2OH - + 2H 2 O Í SiO 2 (OH) H 2 (gas) selectivities: (100) : (111) about 400 : 1, (111) rate ~ 1 µm/min p type doping > ~2x10 19 : reduces etch rate (oxide) : (111) about 1 : 1000 choice o concentration, etch temperature aects etch rate, surace smoothness generally, KOH tends to give very smooth (111) planes Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

30 Other ormulations similar: ammonium hydroxide NH 4 OH 75 C, (100) : (111) o 8,000 : 1, but < 0.1 µm/min etch rate hillock ormation also a problem TMAH (tetramethyl ammonium hydroxide (CH 3 ) 4 NOH ) 90 C, 10-40%, ~1 µm/min; surace roughness can be problem (100) : (111) selectivity : 1 boron doping stop selectivity against oxide >1000 low aluminum etch rate EDP (ethylene diamine / pyrochatechol / water) 115 C, ~1 µm/min, (100) : (111) selectivity 35 : 1 oxide selectivity >1000:1, etches aluminum hydrazine Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

31 Various issues saety hazards EDP, hydrazine potentially quite hazardous ammonia released rom TMAH at elevated temperatures hydrogen bubbles all the reactions tend to produce H 2 bubble ormation can locally mask etch leading to rough suraces bubbles trapped inside sacriicial regions can stop etch or cause breakage Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

32 Plasma assistant pattern transer includes ion milling, sputtering plasma etching reactive ion etching (RIE) all use plasmas typical pressures Torr mean ree paths 10 mm - 5 µm number density cm -3 typical ion densities ~ cm -3 most gas molecules are NOT ionized temperature electron: ~10 4 K gas: ~ambient Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

33 RF discharges and potentials electron and ion mobilities are very dierent leads to separation o charge in r discharge electrons can ollow ield reversals, ions cannot plasma can act like a diode dc potentials can be developed even or pure ac drive ion sheaths driven electrode loating surace plasma dc voltage 0 V p V powered ground electrode grounded electrode electrode typical parameters ac requency: MHz, other industrially assigned dc voltages depend on ratio o powered -to- grounded electrode areas tens (~equal areas) to hundreds o volts possible (small powered area wrt grounded) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin V t

34 Ion bombardment in plasma discharge dc bias voltage / ield between plasma and electrode accelerates ions towards surace positive ions strike surace anisotropically V p + V t +V p dark space n - electric ield recall most gas molecules are neutral still strike surace isotropically - V t sample on powered electrode Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

35 Sputtering and ion milling i ion energy is ~500 ev substantial sputtering o target occurs inert gas (Ar) typically used sputtering systems accelerating potential rom sel bias ion mill powered electrode area << ground area sample placed on powered electrode separate ion generation, acceleration, and sample chamber process is purely physical everything sputters very low selectivity Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

36 Plasma etching use reactive species produced in a plasma discharge to drive chemistry need to produce volatile reaction products usually try to avoid ion bombardment keep accelerating voltages small process is mainly chemical high selectivity low anisotropy driven electrode waers plasma waers planar system ground electrode plasma barrel system Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

37 Reactive Ion Etching (RIE) similar to sputter etcher but replace noble gas with reactive gases like to those used in plasma etching want high energy ions at surace high accelerating voltages substrates on powered electrode asymmetric electrodes area o powered electrode < grounded electrode low pressures Torr in both plasma etching and RIE eed- gas composition produces the reactive species necessary or etching chemistry tends to be isotropic ion bombardment o suraces generates the anisotropy in plasma assisted pattern transer Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

38 Ion- induced and ion- enhanced gas phase etching ion-induced reactions expose Si to Cl 2 : no etching o the Si occurs expose Si to Ar + ion mill beam: etch rate < 0.5 nm/min expose to both: etch rate ~ 10 nm/min note NO Cl 2 plasma was present in this example ion-enhanced reactions XeF 2 will spontaneously etch Si: ~0.5 nm/min but i expose to both XeF 2 and Ar + ion beam etch rate increases dramatically (~6 nm/min) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

39 CF 4 plasma etching electron impact in plasma produces reactive radicals CF 4 + e D CF F * + 2e competing reactions between ree F and CF 3 tends to keep F concentration low ree luorine etches both Si and SiO 2, but etches Si aster Si + 4F " SiF 4 (g) SiO 2 + 4F " SiF 4 (g) + O 2 these are isotropic! add O 2 to gas mix CF O + e " COF 2 + F * competes with F or CF 3, drives [F] up etch rates increase peak selectivity ~15 (Si:SiO 2 ) Si etch rate (Å/min) rom: Sze, 1st ed., p SiO 2 etch rate (Å/min) percent O 2 in CF 4 Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

40 Ion assisted CF x etching add H 2 to CF 4 gas mix CF 4 + e D CF F * + 2e H 2 + F* " HF so addition o H 2 drives [F] down reduces Si etch rate BUT CF 3 will NOT etch SiO 2 UNLESS there is ion bombardment CF x + SiO 2 + damage " SiF 4 (g) + (CO, CO 2, COF 2, etc.) CF x + Si " SiF 4 (g) + C-F (polymer) " stops etch i no ion bombardment! summary: CF 4 + H 2 (40%) : SiO 2 / Si selectivity ~ 10:1 can improve oxide-to-silicon selectivity by decreasing F:C ratio: use CHF 3 CF 4 + O 2 (10%) : Si / SiO 2 selectivity ~ 15:1 etch rate (Å/min) rom: Sze, 1st ed., p SiO 2 with ion bomb. pmma pr Si percent H 2 in CF 4 Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

41 Mechanisms or ion-enhancement and induced anisotropy ion bombardment ion bombardment polymer damage surace damage induced anisotropy surace inhibitor mechanism anisotropy example o polymer ormation: Si etched in Cl 2 plasma (~isotropic) e + Cl 2 e + 2Cl Si + xcl SiCl x recombinant species C 2 F 6 e + C 2 F 6 2CF 3 + e [CF 3 + Cl] x [CF 3 Cl] 3 (polymer) at ~85% C 2 F 6 no undercutting occurs Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

42 Aluminum plasma etch use volatile aluminum chloride Al + CCl 3+ + (bmbrdmnt) " AlCl 3 (g) + C Al + 3Cl * " AlCl 3 (g) problems initial Al 2 O 3 on surace harder to etch (mostly by CCl + 3 ) selectivity wrt SiO 2 < ~20 selectivity wrt photoresist < ~15 can also use BCl 3, may include some O 2 Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

43 Etch summary material etched etch gas(es) volatile product selectivities Si CF 4 + O 2, etc. SiF 4 15:1 (Si:SiO 2 ) SiO 2 CF 4 + H 2, etc. SiF 4 20:1 (SiO 2 :Si) organics O 2 CO 2, H 2 O high Al CCl 4, BCl 3, etc. AlCl 3 15:1 (Al:SiO 2 ); ew:1 (Al:Si) Mo CF 4 MoF 6 W CF 4 WF 6 things that are hard to dry etch copper: no volatile reaction products use CMP instead Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

44 Si Deep RIE desire: high etch rates, high aspect ratios requires very high degrees o anisotropy Bosch process (German patent: Larmer and Schilp, 1994) uses recombinant species and side-wall polymer ormation sequential etch / polymer deposition high bias reactive ion etch: SF 6 / Ar typical low bias polymerization: C 4 F 8, CHF 3 repeat usually need high density plasma source inductively coupled aspects ratios up to about 30:1 etch rate: ew microns per minute selectivities to PR : to oxide Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

45 Deep RIE pictures at ld011.htm STS ( BPS/Plasma Therm (model 790, ICP type) Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

46 in multi- level interconnect planarization is limiting problem CMP Chemical-mechanical polish (CMP) lithography/etch to pattern metals deposit dielectric polish to planarize dielectric surace deposit dielectric etch contact openings (vias), deposit via plug material, etch/polish as needed repeat starting with deposition/patterning o next metal lines Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

47 Damascene process deposit dielectric etch trenches that are where you want metal lines line trenches i necessary blanket deposit metal polish metal back to dielectric surace deposit dielectric open vias deposit via plug material repeat by depositing dielectric, etching trenches to be illed with metal Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

48 Damascene/CMP example re: R. W. Mann, L. A. Clevenger, P. D. Agnello, and F. R. White, Salicides and local interconnections or highperormance VLSI applications, IBM J. Res. Develop., vol. 39, pp , Photo p dielectric layers have been etched away to reveal metal lines SRAM cell green: word lines, salicided poly yellow: 1st global, Ti/Al(Cu)/Ti/TiN pink: local (intra-cell), tungsten grey: contact studs, tungsten Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

49 Copper Interconnects: CMP example multi-level copper interconnects reduce electrical resistance, improve electromigration resistance problems C. Wu, Computer Chips Take a Leap Forward, in Science News, vol. 152, 1997, pp dry etch o copper is challenging L. Geppert, Technology 1998, Analysis and Forcast: Solid State, in IEEE Spectrum, vol. 35, 1998, pp corrosion cover sidewalls with TiN, other reractory, beore copper deposition Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

50 Problems in CMP dishing when making large area eatures the removal rate may not be uniorm across the eature copper: tends to get thinner near middle o large eatures dielectrics: similar behavior constrains ability to make large (i.e., width, length >> thinckness) structures Dean P. Neikirk 2001, last update February 12, Dept. o ECE, Univ. o Texas at Austin

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C. Etching Etching Terminology Etching Considerations or ICs Wet Etching Reactie Ion Etching (plasma etching) 1 Etch Process - Figures o Merit Etch rate Etch rate uniormity Selectiity Anisotropy 2 (1) Bias

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching 課程名稱 : 微製造技術 Microfabrication Technology 授課教師 : 王東安 Lecture 6 Etching 1 Lecture Outline Reading Campbell: Chapter 11 Today s lecture Wet etching Chemical mechanical polishing Plasma etching Ion milling

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 1 MDL 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 6: Process

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Institute of Physics Publishing Journal of Physics: Conference Series 34 (2006) 1038 1043 doi:10.1088/1742-6596/34/1/172 International MEMS Conference 2006 Characterisation of anisotropic etching in KOH

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

1. Narrative Overview Questions

1. Narrative Overview Questions Homework 4 Due Nov. 16, 010 Required Reading: Text and Lecture Slides on Downloadable from Course WEB site: http://courses.washington.edu/overney/nme498.html 1. Narrative Overview Questions Question 1

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Latifa Louriki 1, *, Peter Staffeld 1, Arnd Kaelberer 1 and Thomas Otto 2 1 Robert Bosch GmbH, Reutlingen D-72762,

More information

Supplementary Information for

Supplementary Information for Supplementary Information for Highly Stable, Dual-Gated MoS 2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact Resistance and Threshold Voltage Gwan-Hyoung Lee, Xu Cui,

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Test Booklet. Subject: SC, Grade: HS CST High School Chemistry Part 2. Student name:

Test Booklet. Subject: SC, Grade: HS CST High School Chemistry Part 2. Student name: Test Booklet Subject: SC, Grade: HS Student name: Author: California District: California Released Tests Printed: Thursday January 16, 2014 1 Theoretically, when an ideal gas in a closed container cools,

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

10. Calculate the mass percent nitrogen in (NH 4 ) 2 CO 3 (molar mass = g/mol). a % c % e % b % d % f. 96.

10. Calculate the mass percent nitrogen in (NH 4 ) 2 CO 3 (molar mass = g/mol). a % c % e % b % d % f. 96. Chem 1721/1821: Final Exam Review Multiple Choice Problems 1. What is the molar mass of barium perchlorate, Ba(ClO 4 ) 2? a. 189.90 g/mol c. 272.24 g/mol e. 336.20 g/mol b. 240.24 g/mol d. 304.24 g/mol

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner Supplementary Materials for: High-Performance Semiconducting Polythiophenes for Organic Thin Film Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner 1. Materials and Instruments. All

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information