VLSI Design I; A. Milenkovic 1

Size: px
Start display at page:

Download "VLSI Design I; A. Milenkovic 1"

Transcription

1 ourse dministration PE/EE 47, PE 57 VLSI Design I L3: Wires, Design for Speed Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic (.ece.uah.edu/~milenka ).ece.uah.edu/~milenka/cpe57-5f Instructor: leksandar Milenkovic milenka@ece.uah.edu.ece.uah.edu/~milenka E 7-L Mon. 5:3 PM 6:3 PM, Wen. :3 3:3 PM URL: T: Joel Wilder Labs: Lab#4: due /4/5; Lab#5: //5 Hs: Solutions in secure directory /scr (cpe47fall5,?) Project: Proposals due as on //5 Test I: /7/5 Text: MOS VLSI Design, 3rd ed., Weste, Harris Revie: hapters,, 3, 4; Today: Wires, Design for Speed (meet M in the Lab tonight) //5 VLSI Design I;. Milenkovic Outline Introduction Introduction Wire Resistance Wire apacitance Wire R Delay rosstalk Wire Engineering Repeaters hips are mostly made of ires called interconnect In stick diagram, ires set size Transistors are little things under the ires Many layers of ires Wires are as important as transistors Speed Poer Noise lternating layers run orthogonally //5 VLSI Design I;. Milenkovic 3 //5 VLSI Design I;. Milenkovic 4 Wire Geometry Layer Stack Pitch = + s spect ratio: R = t/ Old processes had R << Modern processes have R Pack in many skinny ires t h l s MI.6 µm process has 3 metal layers Modern processes use 6-+ metal layers Example: Layer T (nm) W (nm) S (nm) R Intel 8 nm process M: thin, narro (< 3λ) High density cells M-M4: thicker For longer ires M5-M6: thickest For V DD, GND, clk Substrate //5 VLSI Design I;. Milenkovic 5 //5 VLSI Design I;. Milenkovic 6 VLSI Design I;. Milenkovic

2 Wire Resistance hoice of Metals ρ= resistivity (Ω*m) ρ l l R = = R t R = sheet resistance (Ω/ ) is a dimensionless unit(!) ount number of squares R = R * (# of squares) l t Rectangular lock R = R (L/W) Ω t l l 4 Rectangular locks R = R (L/W) Ω = R (L/W) Ω Until 8 nm generation, most ires ere aluminum Modern processes often use copper u atoms diffuse into silicon and damage FETs Must be surrounded by a diffusion barrier Metal Silver (g) opper (u) Gold (u) luminum (l) Tungsten (W) Molybdenum (Mo) ulk resistivity (µω*cm) //5 VLSI Design I;. Milenkovic 7 //5 VLSI Design I;. Milenkovic 8 Sheet Resistance Typical sheet resistances in 8 nm process Layer Sheet Resistance (Ω/ ) Diffusion (silicided) 3- Diffusion (no silicide) 5- Polysilicon (silicided) 3- Polysilicon (no silicide) 5-4 Metal.8 Metal.5 Metal3.5 Metal4.3 Metal5. Metal6. ontacts Resistance ontacts and vias also have - Ω Use many contacts for loer R Many small contacts for current croding around periphery //5 VLSI Design I;. Milenkovic 9 //5 VLSI Design I;. Milenkovic Wire apacitance Wire has capacitance per unit length To neighbors To layers above and belo total = top + bot + adj h t h s top bot adj layer n+ layer n layer n- apacitance Trends Parallel plate equation: = ε/d Wires are not parallel plates, but obey trends Increasing area (W, t) increases capacitance Increasing distance (s, h) decreases capacitance Dielectric constant ε = kε ε = 8.85 x -4 F/cm k = 3.9 for SiO Processes are starting to use lo-k dielectrics k 3 (or less) as dielectrics use air pockets //5 VLSI Design I;. Milenkovic //5 VLSI Design I;. Milenkovic VLSI Design I;. Milenkovic

3 M apacitance Data 8 8 Typical ires have ~. ff/µm ompare to ff/µm for gate capacitance total (af/µm) M, M3 planes Isolated s = 3 s = 48 s = 64 s= s = 3 s = 48 s = 64 s= Diffusion & Polysilicon Diffusion capacitance is very high (about ff/µm) omparable to gate capacitance Diffusion also has high resistance void using diffusion runners for ires! Polysilicon has loer but high R Use for transistor gates Occasionally for very short ires beteen gates (nm) //5 VLSI Design I;. Milenkovic 3 //5 VLSI Design I;. Milenkovic 4 Lumped Element Models Wires are a distributed system pproximate ith lumped element models R R N segments R/N R/N R/N R/N /N /N /N /N R R/ R/ Example Metal ire in 8 nm process 5 mm long.3 µm ide onstruct a 3-segment π-model R = permicron = / / L-model π-model T-model 3-segment π-model is accurate to 3% in simulation L-model needs segments for same accuracy! Use single segment π-model for Elmore delay //5 VLSI Design I;. Milenkovic 5 //5 VLSI Design I;. Milenkovic 6 Example Metal ire in 8 nm process 5 mm long.3 µm ide onstruct a 3-segment π-model R =.5 Ω/ => R = 78 Ω permicron =. ff/µm => = pf Wire R Delay Estimate the delay of a x inverter driving a x inverter at the end of the 5mm ire from the previous example. R =.5 kω*µm for gates Unit inverter:.36 µm nmos,.7 µm pmos 6 Ω 6 Ω 6 Ω 67 ff 67 ff 67 ff 67 ff 67 ff 67 ff t pd = //5 VLSI Design I;. Milenkovic 7 //5 VLSI Design I;. Milenkovic 8 VLSI Design I;. Milenkovic 3

4 Wire R Delay Simulated Wire Delays Estimate the delay of a x inverter driving a x inverter at the end of the 5mm ire from the previous example. R =.5 kω*µm for gates Unit inverter:.36 µm nmos,.7 µm pmos 78 Ω voltage (V).5.5 L L/ L/4 L/ L V out 69 Ω 5 ff 5 ff 4 ff.5 t pd =. ns Driver Wire Load //5 VLSI Design I;. Milenkovic time (nsec) //5 VLSI Design I;. Milenkovic Wire Delay Models Wire Delay Models, con t Ideal ire same voltage is present at every segment of the ire at every point in time - at equi-potential only holds for very short ires, i.e., interconnects beteen very nearest neighbor gates Lumped model hen only a single parasitic component (, R, or L) is dominant the different fractions are lumped into a single circuit element When the resistive component is small and the sitching frequency is lo to medium, can consider only ; the ire itself does not introduce any delay; the only impact on performance comes from ire capacitance Driver V out R Driver V out Lumped R model total ire resistance is lumped into a single R and total capacitance into a single good for short ires; pessimistic and inaccurate for long ires Distributed R model circuit parasitics are distributed along the length, L, of the ire c and r are the capacitance and resistance per unit length r L c L r L r L r L r L c L c L c L c L V N (r,c,l) V N c ire lumped capacitance per unit length good for short ires; pessimistic and inaccurate for long ires //5 VLSI Design I;. Milenkovic Delay is determined using the Elmore delay equation N τ Di = c k r ik //5 VLSI Design I;. Milenkovic k= hain Netork Elmore Delay hain Netork Elmore Delay τ D =c r τ D =c r +c (r +r ) r r r i- r i r i- i N N V N r r r i- r i r i- i N N V N c c c i- c i c N c c c i- c i c N τ Di =c r +c (r +r )+ +c i (r +r + +r i ) Elmore delay equation N i τ DN = c i r ii = c i r j Elmore delay equation N i τ DN = c i r ii = c i r j τ Di =c r eq +c r eq +3c 3 r eq + + ic i r eq //5 VLSI Design I;. Milenkovic 3 //5 VLSI Design I;. Milenkovic 4 VLSI Design I;. Milenkovic 4

5 Distributed R Model for Simple Wires length L R ire can be modeled by N segments of length L/N The resistance and capacitance of each segment are given by r L/N and c L/N τ DN = (L/N) (cr+cr+ +Ncr) = (crl ) (N(N+))/(N ) = R((N+)/(N)) here R (= rl) and (= cl) are the total lumped resistance and capacitance of the ire For large N τ DN = R/ = rcl / Delay of a ire is a quadratic function of its length, L The delay is / of that predicted (by the lumped model) Putting It ll Together R Driver r,c,l V out Total propagation delay consider driver and ire τ D = R Driver + (R )/ = R Driver +.5r c L and t p =.69 R Driver +.38 R here R = r L and = c L The delay introduced by ire resistance becomes dominant hen (R )/ R Driver W (hen L R Driver /R ) For an R Driver = kω driving an µm ide l ire, L crit is.67 cm //5 VLSI Design I;. Milenkovic 5 //5 VLSI Design I;. Milenkovic 6 Design Rules of Thumb rc delays should be considered hen t pr > t pgate of the driving gate L crit > (t pgate /.38rc) actual L crit depends upon the size of the driving gate and the interconnect material rc delays should be considered hen the rise (fall) time at the line input is smaller than R, the rise (fall) time of the line t rise < R hen not met, the change in the signal is sloer than the propagation delay of the ire so a lumped model suffices Delay ith Long Interconnects When gates are farther apart, ire capacitance and resistance can no longer be ignored. (r, c, L) c int V out t p =.69R dr int + (.69R dr +.38R ) +.69(R dr +R ) fan here R dr = (R eqn + R eqp )/ =.69R dr ( int + fan ) +.69(R dr c +r fan )L +.38r c L Wire delay rapidly becomes the dominate factor (due to the quadratic term) in the delay budget for longer ires. c fan //5 VLSI Design I;. Milenkovic 7 //5 VLSI Design I;. Milenkovic 8 rosstalk capacitor does not like to change its voltage instantaneously. ire has high capacitance to its neighbor. When the neighbor sitches from -> or ->, the ire tends to sitch too. alled capacitive coupling or crosstalk. rosstalk effects Noise on nonsitching ires Increased delay on sitching ires rosstalk Delay ssume layers above and belo on average are quiet Second terminal of capacitor can be ignored Model as gnd = top + bot Effective adj depends on behavior of neighbors Miller effect onstant Sitching ith Sitching opposite V eff() gnd MF adj gnd //5 VLSI Design I;. Milenkovic 9 //5 VLSI Design I;. Milenkovic 3 VLSI Design I;. Milenkovic 5

6 rosstalk Delay ssume layers above and belo on average are quiet Second terminal of capacitor can be ignored Model as gnd = top + bot Effective adj depends on behavior of neighbors Miller effect gnd adj gnd rosstalk Noise rosstalk causes noise on nonsitching ires If victim is floating: model as capacitive voltage divider adj Vvictim = Vaggressor gnd v + adj onstant Sitching ith Sitching opposite V V DD V DD eff() gnd + adj gnd gnd + adj MF V aggressor ggressor Victim adj gnd-v V victim //5 VLSI Design I;. Milenkovic 3 //5 VLSI Design I;. Milenkovic 3 Driven Victims Usually victim is driven by a gate that fights noise Noise depends on relative resistances Victim driver is in linear region, agg. in saturation If sizes are same, R aggressor = -4 x R victim oupling Waveforms Simulated coupling for adj = victim ggressor.8.5. adj Vvictim = V + + k k τ gnd v aggressor = = τ adj aggressor ( + ) ( + ) R aggressor gnd a adj R victim victim gnd v adj R aggressor ggressor V gnd-a aggressor adj R victim Victim gnd-v V victim Victim (undriven): 5%.9.6 Victim (half size driver): 6% Victim (equal size driver): 8%.3 Victim (double size driver): 4% t (ps) //5 VLSI Design I;. Milenkovic 33 //5 VLSI Design I;. Milenkovic 34 Noise Implications So hat if e have noise? If the noise is less than the noise margin, nothing happens Static MOS logic ill eventually settle to correct output even if disturbed by large noise spikes ut glitches cause extra delay lso cause extra poer from false transitions Dynamic logic never recovers from glitches Memories and other sensitive circuits also can produce the rong anser Wire Engineering Goal: achieve delay, area, poer goals ith acceptable noise Degrees of freedom: //5 VLSI Design I;. Milenkovic 35 //5 VLSI Design I;. Milenkovic 36 VLSI Design I;. Milenkovic 6

7 Wire Engineering Goal: achieve delay, area, poer goals ith acceptable noise Degrees of freedom:..8 Width Spacing.4 Delay (ns): R/ Pitch (nm) oupling: adj / ( adj + gnd ) Pitch (nm) Wire Spacing (nm) Wire Engineering Goal: achieve delay, area, poer goals ith acceptable noise Degrees of freedom:..8 Width Spacing Layer.8 Delay (ns): R/ Pitch (nm) oupling: adj / ( adj + gnd ) Pitch (nm) Wire Spacing (nm) //5 VLSI Design I;. Milenkovic 37 //5 VLSI Design I;. Milenkovic 38 Wire Engineering Goal: achieve delay, area, poer goals ith acceptable noise Degrees of freedom:..8 Width Spacing Layer Shielding.4. Delay (ns): R/. 5 5 Pitch (nm) oupling: adj / ( adj + gnd ) 5 5 Pitch (nm) Wire Spacing (nm) Repeaters R and are proportional to l R delay is proportional to l Unacceptably great for long ires //5 VLSI Design I;. Milenkovic 39 //5 VLSI Design I;. Milenkovic 4 Repeaters R and are proportional to l R delay is proportional to l Unacceptably great for long ires reak long ires into N shorter segments Drive each one ith an inverter or buffer Driver Wire Length: l Receiver Repeater Design Ho many repeaters should e use? Ho large should each one be? Equivalent ircuit Wire length l/n Wire apaitance *l/n, Resistance R *l/n Inverter idth W (nmos = W, pmos = W) Gate apacitance *W, Resistance R/W l/n N Segments Segment l/n l/n Driver Repeater Repeater Repeater Receiver //5 VLSI Design I;. Milenkovic 4 //5 VLSI Design I;. Milenkovic 4 VLSI Design I;. Milenkovic 7

8 Repeater Design Ho many repeaters should e use? Ho large should each one be? Equivalent ircuit Wire length l Wire apacitance *l, Resistance R *l Inverter idth W (nmos = W, pmos = W) Gate apacitance *W, Resistance R/W R/W R ln l/n l/n 'W Repeater Results Write equation for Elmore Delay Differentiate ith respect to W and N Set equal to, solve l R = N R t pd = + l W = ( ) R R R R ~6-8 ps/mm in 8 nm process //5 VLSI Design I;. Milenkovic 43 //5 VLSI Design I;. Milenkovic 44 Revie: MOS Inverter: Dynamic V DD Designing for Speed t phl = f(, L ) Department of Electrical and omputer Engineering University of labama in Huntsville L V out t phl =.69 R eqn L t phl =.69 (3/4 ( L V DD )/ I DSTn ) =.5 L / (W/L n k n V DSTn ) = V DD //5 VLSI Design I;. Milenkovic 46 Revie: Designing Inverters for Performance Sitch Delay Model Reduce L internal diffusion capacitance of the gate itself interconnect capacitance fanout Increase W/L ratio of the transistor the most poerful and effective performance optimization tool in the hands of the designer atch out for self-loading! Increase V DD only minimal improvement in performance at the cost of increased energy dissipation Slope engineering - keeping signal rise and fall times smaller than or equal to the gate propagation delays and of approximately equal values good for performance good for poer consumption //5 VLSI Design I;. Milenkovic 47 L int INVERTER NND L //5 VLSI Design I;. Milenkovic 48 R eq NOR int L VLSI Design I;. Milenkovic 8

9 Input Pattern Effects on Delay Delay Dependence on Input Patterns L int Delay is dependent on the pattern of inputs Lo to high transition both inputs go lo delay is.69 / L since to p-resistors are on in parallel one input goes lo delay is.69 L High to lo transition both inputs go high delay is.69 L dding transistors in series (ithout sizing) slos don the circuit Voltage, V == =, = =, = time, psec -input NND ith NMOS =.5µm/.5 µm PMOS =.75µm/.5 µm L = ff Input Data Pattern == =, = =, = == =, = =, = Delay (psec) //5 VLSI Design I;. Milenkovic 49 //5 VLSI Design I;. Milenkovic 5 Transistor Sizing Fan-In onsiderations L int D 3 L Distributed R model (Elmore delay) int L D t phl =.69 R eqn ( L ) Propagation delay deteriorates rapidly as a function of fan-in quadratically in the orst case. //5 VLSI Design I;. Milenkovic 5 //5 VLSI Design I;. Milenkovic 5 t p (psec) t p as a Function of Fan-In t phl quadratic function of fan-in 5 t plh linear function of fan-in fan-in Gates ith a fan-in greater than 4 should be avoided. //5 VLSI Design I;. Milenkovic 53 t p Fast omplex Gates: Design Technique Transistor sizing as long as fan-out capacitance dominates Progressive sizing In N In 3 In In MN M3 M M 3 L Distributed R line M > M > M3 > > MN The fet closest to the output should be the smallest. an reduce delay by more than %; decreasing gains as technology shrinks //5 VLSI Design I;. Milenkovic 54 VLSI Design I;. Milenkovic 9

10 Fast omplex Gates: Design Technique Input re-ordering hen not all inputs arrive at the same time critical path critical path Fast omplex Gates: Design Technique Input re-ordering hen not all inputs arrive at the same time critical path critical path In 3 M3 charged L In M3 In M In M In In M 3 M L charged In 3 In In charged In M3 L M3 M charged In M M charged In 3 M delay determined by time to discharge L, and L delay determined by time to discharge L charged discharged discharged //5 VLSI Design I;. Milenkovic 55 //5 VLSI Design I;. Milenkovic 56 Sizing and Ordering Effects Fast omplex Gates: Design Technique 3 lternative logic structures D 3 F = DEFGH 4 4 L = ff D Progressive sizing in pull-don chain gives up to a 3% improvement. Input ordering saves 5% critical path 3% critical path D 7% //5 VLSI Design I;. Milenkovic 57 //5 VLSI Design I;. Milenkovic 58 Fast omplex Gates: Design Technique 4 Isolating fan-in from fan-out using buffer insertion L L Logical Effort: Design Technique 5 Logical effort generalizes to multistage netorks Path Logical Effort G = g i Path Electrical Effort out-path H = in-path Path Effort F = f = gh i i i Real lesson is that optimizing the propagation delay of a gate in isolation is misguided. g = h = x/ x g = 5/3 h = y/x y g 3 = 4/3 h 3 = z/y z g 4 = h 4 = /z //5 VLSI Design I;. Milenkovic 59 //5 VLSI Design I;. Milenkovic 6 VLSI Design I;. Milenkovic

11 ranching Effort Multistage Delays Introduce branching effort ccounts for branching beteen stages in path b = on path + on path off path = b i No e compute the path effort F = GH Note: h i = H Path Effort Delay Path Parasitic Delay Path Delay D F = fi P = p i D = d = D + P i F //5 VLSI Design I;. Milenkovic 6 //5 VLSI Design I;. Milenkovic 6 D = d = D + P i Designing Fast ircuits F Delay is smallest hen each stage bears same effort fˆ = gh = F i i N Thus minimum delay of N stage path is D = NF + P N This is a key result of logical effort Find fastest possible delay Doesn t require calculating gate sizes //5 VLSI Design I;. Milenkovic 63 Gate Sizes Ho ide should the gates be for least delay? ˆ out f = gh= g in gi in = i fˆ outi Working backard, apply capacitance transformation to find input capacitance of each gate given load it drives. heck ork by verifying input cap spec is met. //5 VLSI Design I;. Milenkovic 64 est Number of Stages Ho many stages should a path use? Minimizing number of stages is not alays fastest Example: drive 64-bit datapath ith unit inverter est Number of Stages Ho many stages should a path use? Minimizing number of stages is not alays fastest Example: drive 64-bit datapath ith unit inverter Initial Driver Initial Driver D = D = NF /N + P = N(64) /N + N Datapath Load Datapath Load N: f: D: 3 4 N: f: D: Fastest //5 VLSI Design I;. Milenkovic 65 //5 VLSI Design I;. Milenkovic 66 VLSI Design I;. Milenkovic

12 Derivation onsider adding inverters to end of path Ho many give least delay? n ( ) N D = NF + pi + N n pinv i= D N N N = F ln F + F + pinv = N Define best stage effort ρ = F N ( ) p + ρ lnρ = inv Logic lock: n Stages Path Effort F N - n Extra Inverters est Stage Effort has no closed-form solution pinv + ρ ( lnρ ) = Neglecting parasitics (p inv = ), e find ρ =.78 (e) For p inv =, solve numerically for ρ = 3.59 //5 VLSI Design I;. Milenkovic 67 //5 VLSI Design I;. Milenkovic 68 Sensitivity nalysis Ho sensitive is delay to using exactly the best number of stages? D(N) /D(N) (ρ=6) (ρ =.4) < ρ < 6 gives delay ithin 5% of optimal We can be sloppy! I like ρ = 4 N / N //5 VLSI Design I;. Milenkovic 69 VLSI Design I;. Milenkovic

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration CPE/EE 427, CPE 527 VLSI Design I L3: Wires, Design for Speed Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-05f

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

EE141. Administrative Stuff

EE141. Administrative Stuff -Spring 2004 Digital Integrated ircuits Lecture 15 Logical Effort Pass Transistor Logic 1 dministrative Stuff First (short) project to be launched next Th. Overall span: 1 week Hardware lab this week Hw

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Lecture 9: Interconnect

Lecture 9: Interconnect Digital Integrated Circuits (83-313) Lecture 9: Interconnect Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 23 May 2017 Disclaimer: This course was prepared, in its entirety,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Designing ombinational Logic ircuits dapted from hapter 6 of Digital Integrated ircuits Design Perspective Jan M. Rabaey et al. opyright 2003 Prentice Hall/Pearson 1 ombinational vs. Sequential Logic In

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Lecture 8: Logic Effort and Combinational Circuit Design

Lecture 8: Logic Effort and Combinational Circuit Design Lecture 8: Logic Effort and Combinational Circuit Design Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q Logical Effort q Delay in a Logic Gate

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design & Logical Effort Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits esign Perspective esigning Combinational Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit Out State Combinational

More information

Digital EE141 Integrated Circuits 2nd Combinational Circuits

Digital EE141 Integrated Circuits 2nd Combinational Circuits Digital Integrated Circuits Designing i Combinational Logic Circuits 1 Combinational vs. Sequential Logic 2 Static CMOS Circuit t every point in time (except during the switching transients) each gate

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Review Voltage wing of PT Driving an Inverter PE/EE 47, PE 57 VLI Design I L9: MO & Wire apacitances Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 ourse dministration PE/EE 47, PE 57 VLI esign I L6: omplementary MO Logic Gates epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD S D V in V out C L D S CMOS Inverter N Well V DD V DD PMOS 2λ PMOS Contacts In Out In Out Metal 1 NMOS Polysilicon NMOS GND CMOS Inverter: Steady State Response V

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

! Dynamic Characteristics. " Delay

! Dynamic Characteristics.  Delay EE 57: Digital Integrated ircuits and LI Fundamentals Lecture Outline! Dynamic haracteristics " Delay Lec : February, 8 MO Inverter and Interconnect Delay 3 Review: Propogation Delay Definitions Dynamic

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information

Introduction to CMOS VLSI Design. Lecture 5: Logical Effort. David Harris. Harvey Mudd College Spring Outline

Introduction to CMOS VLSI Design. Lecture 5: Logical Effort. David Harris. Harvey Mudd College Spring Outline Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harve Mudd College Spring 00 Outline Introduction Dela in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

Announcements. EE141-Spring 2007 Digital Integrated Circuits. CMOS SRAM Analysis (Read/Write) Class Material. Layout. Read Static Noise Margin

Announcements. EE141-Spring 2007 Digital Integrated Circuits. CMOS SRAM Analysis (Read/Write) Class Material. Layout. Read Static Noise Margin Vo l ta ge ri s e [ V] EE-Spring 7 Digital Integrated ircuits Lecture SRM Project Launch nnouncements No new labs next week and week after Use labs to work on project Homework #6 due Fr. pm Project updated

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

Digital Microelectronic Circuits ( )

Digital Microelectronic Circuits ( ) Digital Microelectronic ircuits (361-1-3021 ) Presented by: Dr. Alex Fish Lecture 5: Parasitic apacitance and Driving a Load 1 Motivation Thus far, we have learned how to model our essential building block,

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Delay and Power Estimation

Delay and Power Estimation EEN454 Digital Integrated ircuit Design Delay and Power Estimation EEN 454 Delay Estimation We would like to be able to easily estimate delay Not as accurate as simulation But make it easier to ask What

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

Introduction to CMOS VLSI Design. Logical Effort B. Original Lecture by Jay Brockman. University of Notre Dame Fall 2008

Introduction to CMOS VLSI Design. Logical Effort B. Original Lecture by Jay Brockman. University of Notre Dame Fall 2008 Introduction to CMOS VLSI Design Logical Effort Part B Original Lecture b Ja Brockman Universit of Notre Dame Fall 2008 Modified b Peter Kogge Fall 2010,2011,2015, 2018 Based on lecture slides b David

More information

Based on slides/material by. Topic 3-4. Combinational Logic. Outline. The CMOS Inverter: A First Glance

Based on slides/material by. Topic 3-4. Combinational Logic. Outline. The CMOS Inverter: A First Glance ased on slides/material by Topic 3 J. Rabaey http://bwrc.eecs.berkeley.edu/lasses/icook/instructors.html Digital Integrated ircuits: Design Perspective, Prentice Hall D. Harris http://www.cmosvlsi.com/coursematerials.html

More information

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline Introduction to MOS VLSI Design hapter : MOS Transistor Theory copyright@david Harris, 004 Updated by Li hen, 010 Outline Introduction MOS apacitor nmos IV haracteristics pmos IV haracteristics Gate and

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE

COMP 103. Lecture 10. Inverter Dynamics: The Quest for Performance. Section 5.4.2, What is this lecture+ about? PERFORMANCE COMP 103 Lecture 10 Inverter Dynamics: The Quest for Performance Section 5.4.2, 5.4.3 [All lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

Logical Effort. Sizing Transistors for Speed. Estimating Delays

Logical Effort. Sizing Transistors for Speed. Estimating Delays Logical Effort Sizing Transistors for Speed Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 ourse dministration PE/EE 47, PE 57 VLI esign I L6: tatic MO Logic epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka )

More information

CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Inverter: A First Look

CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Inverter: A First Look CPE/EE 47, CPE 57 VLSI esign I L6: CMOS Inverter, CMOS Logic Gates epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka )

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 PE/EE 47, PE 57 VLI esign I L6: tatic MO Logic epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe57-3f

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD V in V out C L CMOS Properties Full rail-to-rail swing Symmetrical VTC Propagation delay function of load capacitance and resistance of transistors No static power

More information

CMOS Inverter: CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Properties.

CMOS Inverter: CPE/EE 427, CPE 527 VLSI Design I L06: CMOS Inverter, CMOS Logic Gates. Course Administration. CMOS Properties. CMOS Inverter: Steady State Response CPE/EE 47, CPE 57 VLSI esign I L6: CMOS Inverter, CMOS Logic Gates R p V OL = V OH = V M = f(r n, R p ) epartment of Electrical and Computer Engineering University

More information

CPE/EE 427, CPE 527 VLSI Design I Pass Transistor Logic. Review: CMOS Circuit Styles

CPE/EE 427, CPE 527 VLSI Design I Pass Transistor Logic. Review: CMOS Circuit Styles PE/EE 427, PE 527 VLI Design I Pass Transistor Logic Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: MO ircuit

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

CPE/EE 427, CPE 527 VLSI Design I L18: Circuit Families. Outline

CPE/EE 427, CPE 527 VLSI Design I L18: Circuit Families. Outline CPE/EE 47, CPE 57 VLI Design I L8: Circuit Families Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe57-05f

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141 EECS 151/251A Spring 2018 Digital Design and Integrated Circuits Instructors: Nick Weaver & John Wawrzynek Lecture 12 1 Wire Models All-inclusive model Capacitance-only 2 Capacitance Capacitance: The Parallel

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

Digital Integrated Circuits EECS 312. Review. Fringe vs. parallel plate capacitance. Rent s rule. Impact of inter-wire capacitance

Digital Integrated Circuits EECS 312. Review. Fringe vs. parallel plate capacitance. Rent s rule. Impact of inter-wire capacitance 4 8 6 IM ES9 ipolar Fujitsu VP IM 9S Pulsar 4 IM 9 IM RY6 D yber 5 IM 48 IM RY4 IM 8 pache Fujitsu M8 IM 7 Merced IM 6 IM Vacuum Pentium II(DSIP) 95 96 97 98 99 NTT Fujitsu M-78 Year of announcement IM

More information

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution - Fall 2002 Lecture 25 Interconnect Effects I/O, Power Distribution Announcements Homework 9 due next Tuesday Hardware lab this week Project phase 2 due in two weeks 1 Today s Lecture Impact of interconnects»

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

CMOS Comparators. Kyungpook National University. Integrated Systems Lab, Kyungpook National University. Comparators

CMOS Comparators. Kyungpook National University. Integrated Systems Lab, Kyungpook National University. Comparators IsLab Analog Integrated ircuit Design OMP-21 MOS omparators כ Kyungpook National University IsLab Analog Integrated ircuit Design OMP-1 omparators A comparator is used to detect whether a signal is greater

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2)

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: 1st Order RC Delay Models. Review: Two-Input NOR Gate (NOR2) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 14: March 1, 2016 Combination Logic: Ratioed and Pass Logic Lecture Outline! CMOS Gates Review " CMOS Worst Case Analysis! Ratioed Logic Gates!

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2014 Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

EECS 141: SPRING 09 MIDTERM 2

EECS 141: SPRING 09 MIDTERM 2 University of California College of Engineering Department of Electrical Engineering and Computer Sciences J. Rabaey WeFr 2-3:30pm We, April 22, 2:00-3:30pm EECS 141: SPRING 09 MIDTERM 2 NAME Last First

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

EE141-Fall Digital Integrated Circuits. Announcements. Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday. Homework #4 due next Thursday

EE141-Fall Digital Integrated Circuits. Announcements. Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday. Homework #4 due next Thursday EE4-Fall 2000 Digital Integrated ircuits Lecture 6 Inverter Delay Optimization Announcements Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday Homework #4 due next Thursday 2 2 lass Material Last lecture

More information

Logic Effort Revisited

Logic Effort Revisited Logic Effort Revisited Mark This note ill take another look at logical effort, first revieing the asic idea ehind logical effort, and then looking at some of the more sutle issues in sizing transistors..0

More information

Static CMOS Circuits

Static CMOS Circuits Static MOS ircuits l onventional (ratio-less) static MOS» overed so far l Ratio-ed logic (depletion load, pseudo nmos) l ass transistor logic ombinational vs. Sequential Logic In Logic ircuit In Logic

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing

EE M216A.:. Fall Lecture 4. Speed Optimization. Prof. Dejan Marković Speed Optimization via Gate Sizing EE M216A.:. Fall 2010 Lecture 4 Speed Optimization Prof. Dejan Marković ee216a@gmail.com Speed Optimization via Gate Sizing Gate sizing basics P:N ratio Complex gates Velocity saturation ti Tapering Developing

More information

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits Lec 10 Combinational CMOS Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic circuit Out In Combinational Logic circuit Out State Combinational The output is determined only by

More information