Transistors E ITT INTERMETALL

Size: px
Start display at page:

Download "Transistors E ITT INTERMETALL"

Transcription

1 Transistors 6--E ITT INTERMETALL

2 All information and data contained in this data book are without any commitment, are not to be considered as an offer for conclusion of a contract nor shall they be construed as to create any liability. Any new issue of this data book, or individual product data sheets, invalidate previous issues. Product availability and delivery dates are exclusively subject to our respective order confirmation form; the same applies to orders based on development samples delivered. By this publication, INTERMETALL does not assume responsibility for patent infringements or other rights of third parties which may result from its use. Reprinting is generally permitted, indicating the source. However, our prior consent must be obtained in all cases. Printed in Germany Imprimé dans la République Fédérale d Allemagne by Rombach GmbH Druck- und erlagshaus, 79 Freiburg Edition September 996 Order No. 6--E ITT INTERMETALL

3 Page Contents List of Types to 7 Technical Information 9 to 6 Small-Signal Transistors (NPN) 67 to Small-Signal Transistors (PNP) to 7 DMOS Transistors (N-Channel) 9 to 87 DMOS Transistors (P-Channel) 89 to 9 Darlington Transistors 9 to 99 Bias Resistor Transistors to Addresses Alphanumerical List of Types ITT INTERMETALL

4 List of Types Type Page Type Page Small-Signal Transistors (NPN) N9 N BC7-6, -, - BC8-6, -, - BC6-A, -B 6 BC7-A, -B, -C 6 BC8-A, -B, -C 6 BC9-B, -C 6 BC87-6, -, - BC88-6, -, - BC86-A, -B 8 BC87-A, -B, -C 8 BC88-A, -B, -C 8 BC89-B, -C 8 BF BF BF8 6 BF8 6 MMBT9 8 MMBTA 6 MMBTA 6 MPSA 6 MPSA 6 Small-Signal Transistors (PNP) N96 68 N6 7 BC7-6, -, - 78 BC8-6, -, - 78 BC6-A, -B 8 BC7-A, -B, -C 8 BC8-A, -B, -C 8 BC9-A, -B, -C 8 BC87-6, -, - 9 BC88-6, -, - 9 BC86-A, -B 96 BC87-A, -B, -C 96 BC88-A, -B, -C 96 BC89-A, -B, -C 96 BF BF BF8 BF8 MMBT96 6 MMBTA9 MMBTA9 MPSA9 MPSA9 DMOS Transistors (N-Channel) N7 6 N7 BS8 8 BS9 BS 6 BS7 8 BS6 6 BS89 BS88 6 BS87 DMOS Transistors (P-Channel) BS8 6 BS9 66 BS 68 BS 7 BS7 76 BS88 78 BS89 8 BS8 8 Darlington Transistors BC7 9 BC6 9 Bias Resistor Transistors DTCEK 96 DTCXK 98 ITT INTERMETALL

5 Technical Information ITT INTERMETALL

6 Technical Information Index of Symbols b Imaginary part of y-parameters b f Imaginary part of forward transconductance y f b i Imaginary part of input admittance y i b o Imaginary part of output admittance y o b r Imaginary part of reverse transconductance y r B Base connection B G Imaginary part of generator (source) impedance C Capacitance; junction capacitance; collector connection C i Input capacitance (b i / π f) C o Output capacitance (b o / π f) C CBO Collector-Base capacitance (open emitter) C EBO Emitter-base capacitance (open collector) C iss Input capacitance C r Feedback capacitance (b r / π f) E Emitter connection f Frequency f T Gain-bandwidth product F Noise figure F c Noise figure in mixer stages g Real part of y-parameters g f Real part of forward transconductance y f g i Real part of input admittance y i g m Forward transconductance g o Real part of output admittance y o g r Real part of reverse transconductance y r g s Generator conductance G C Current gain G P Power gain G P av Available power gain G P max Max. available power gain G oltage gain h Parameters of h- (hybrid) matrix h f Small-signal current gain h i Input impedance h o Output admittance h r Reverse voltage transfer ratio h FE DC current gain, common emitter I B Base current I BM Peak base current I B Turn-on current I B Turn-off current I C Collector current I CA Average collector current I CBO Collector-base cutoff current (open emitter) I CEO Collector-emitter cutoff current (open base) I CER Collector-emitter cutoff current (specified resistance between base and emitter) I CES Collector-emitter cutoff current (base shortcircuited to emitter) I CE Collector-emitter cutoff current (specified voltage between base and emitter) I CM Peak collector current I D Drain current I DSS Drain cutoff current I E Emitter current I EBO Emitter-base cutoff current (open collector) I GSS Gate-body leakage current K Thermal resistance correction factor P tot Power dissipation P D Continuous power dissipation P I Pulse power dissipation r b C C Collector-base time constant r DS (ON) Drain-source-on resistance r tha Pulse thermal resistance junction to ambient air r thc Pulse thermal resistance junction to case R Resistance; resistor R BE Resistance between base and emitter R G Generator impedance; source impedance R G opt Optimum (matched) generator resistance R L Load resistance R L opt Optimum (matched) load resistance R S Series resistance R th Thermal resistance R tha Thermal resistance junction to ambient air R thc Thermal resistance junction to case resp. mounting base R thc/s Thermal resistance case or mounting base to heat sink R ths Thermal resistance heat sink to ambient air t Time t d Delay time t f Fall Time t off Turn-off time (t s + t f ) t on Turn-on time (t d + t r ) t p Pulse duration t pd Propagation delay time t r Rise time t s Storage time t total Total switching time (t on + t off ) T Temperature; duration of one period T amb Ambient temperature T j Junction temperature T C Case temperature T S Storage temperature T SB Temperature of substrate backside oltage BB Base supply voltage BE Base-emitter voltage BE sat Base-emitter saturation voltage 6 ITT INTERMETALL

7 Technical Information (BR)CBO Collector-base breakdown voltage (open emitter) (BR)CEO Collector-emitter breakdown voltage (open base) (BR)CER Collector-emitter breakdown voltage (specified resistance between base and emitter) (BR)CES Collector-emitter breakdown voltage (emitter short-circuited to base) DGS Drain-gate voltage DSS Drain-source voltage (BR)DSS Drain-source breakdown voltage (BR)EBO Emitter-base breakdown voltage (open collector) CB Collector-base voltage CBO Collector-base voltage (open emitter) CC Collector supply voltage CE Collector-emitter voltage CEO Collector-emitter voltage (open base) CER Collector-emitter voltage (specified resistance between base and emitter) CES Collector-emitter voltage (emitter shortcircuited to base) CE sat Collector-emitter saturation voltage CE Collector-emitter voltage (specified voltage between base and emitter) EBO Emitter-base voltage (open collector) EE Emitter supply voltage GS(TO) Gate threshold voltage i Input voltage o Output voltage y Parameters of y- (admittance) matrix y f Forward transconductance y i Input admittance y o Output admittance y r Reverse transconductance y s Generator admittance Z Input impedance Z Output impedance ϕ Phase angle of y-parameters τs Storage time constant ν Duty cycle (t p /T) ITT INTERMETALL 7

8 Technical Information Characteristics and Maximum Ratings The electrical performance of a semiconductor device is usually expressed in terms of its characteristics and maximum ratings. Characteristics are those which can be measured by use of suitable measuring instruments and circuits, and provide information on the performance of the device under specified operating conditions (at a given bias, for example). Depending on requirements, they are quoted either as typical (Typ.) values or guaranteed (Min., Max.) values. Typical values are expressed as figures or as one or more curves, and are subject to spreads. Occasionally a typical curve is accompanied by another curve, this being a 9%, or, in a few cases, a maximum spread limit curve. Maximum Ratings give the values which cannot be exceeded without risk of damage to the device. Changes in supply voltage and in the tolerances of other components in the circuit must also be taken into consideration. No single maximum rating should ever be exceeded, even when the device is operated well within the other maximum ratings. The inclusion of the word admissible in a title means that the associated curve defines the maximum ratings. Assembly and Soldering Instructions To prevent transistors from being damaged during mounting, observe the following points: All semiconductor devices are extremely sensitive to their maximum admissible junction temperature being exceeded. When planning the layout of the equipment, the distance between heat sources and semiconductor elements should be sufficiently large. Semiconductor elements may be mounted in any desired position. From the experience gained in soldering semiconductor elements the following rules have emerged: For transistors in plastic case TO-9 the maximum soldering time is 8 s, at soldering temperatures between and 6 C. Here, the distance between soldered joint and case should be at least mm. During soldering, the leads should not be subjected to mechanical stress. For transistors in plastic case SOT- the maximum soldering time is 8 s, at maximum soldering temperatures between and 6 C. An exception to this rule are data on collector current. The collector current, quoted as one of the critical transistor values, is a maximum value recommended by the manufacturer which should be noted in connection with the other characteristics valid for this collector current (e.g. collector and saturation voltages, current gain etc.) when selecting a transistor. In certain cases, the quoted collector current may be exceeded without the transistor being destroyed. The absolute limit for the collector current is determined by the maximum admissible power dissipation of the transistor. 8 ITT INTERMETALL

9 Technical Information Admissible Power Dissipation The indicated maximum admissible junction temperature must not be exceeded because this could damage or cause the destruction of the transistor crystal. Since the user cannot measure this temperature, data sheets also reveal the maximum admissible power dissipation P tot usually in the form of a derating curve (see diagram). If power dissipation is kept within these limits the maximum junction temperature will not be exceeded. This can easily be checked by using the equation T j = T amb + P tot R th For the thermal resistance R th the junction to ambient thermal resistance R tha is usually substituted in the case of small transistors (in the TO-8 or TO-9 package). In the case of power transistors (in the TO- or similar packages) which are usually mounted on a cooling fin or heat sink for the purpose of heat dissipation, the sum of the junction to case thermal resistance R thc plus the heat sink to ambient thermal resistance R ths plus for more accurate calculations the mounting surface to heat sink thermal resistance, is substituted for the thermal resistance in this equation. In order to keep the mounting surface to heat sink thermal resistance low, a heat conducting compound (silicone grease) is to be applied to the mounting surface before the transistor is screwed on. If a mica insulation is used, the thermal resistance of the mica washer must be added, which amounts to about. K/W. Directions for determining the thermal resistance R ths for cooling fins can be found on page. Since the distribution of heat in the transistor crystal is not uniform and depends on voltage and current, some transistors are accompanied by derating curves showing P tot as a function of T C and T amb with the collector voltage CE as parameter (see diagram below). Admissible power dissipation versus ambient temperature Admissible power dissipation versus temperature mw W CE =...7 P tot P tot 8 R thc = K/W R tha = K/W T amb C C T amb, T C ITT INTERMETALL 9

10 Technical Information Admissible collector current versus collector emitter voltage For some power transistors the data sheets also contain a diagram giving admissible collector current or permissible operating range which gives further information on admissible power dissipation. One example is illustrated in the diagram left. I C A T C = C Pulse Operation* I Cmax (continuous) µs µs µs ms t p = ms DC Operation These diagrams are based on continuous power dissipation. However, pulse power dissipation may usually exceed continuous power dissipation. To ascertain maximum admissible pulse power dissipation P I, reference is made to the pulse junction to case thermal resistance r thc or the pulse junction to ambient thermal resistance r tha whose value can be derived from the r th = f(t p ) diagram below. Use the equation T j = T amb + P I r tha or, if the continuous power dissipation P D is to be taken into consideration: T j = T amb + P D R tha + P I r tha K/W r. thc. * for single nonrepetitive pulse Pulse thermal resistance versus pulse duration... ν =.. t p ν = tp T T CE P I If the transistor is mounted on a cooling fin then the equation becomes: T j = T amb + P tot R ths + P I r thc wherein P tot is the mean value of the pulse power dissipation P I. Where continuous power dissipation must be considered in addition, the equation is expanded accordingly: T j = T amb + P tot R ths + P D R thc + P I r thc wherein P tot is the mean value of the total power dissipation. The thermal resistance and pulse thermal resistance values derived from the data sheets apply without limitation only to small collector-emitter voltages CE, between about and. For higher voltages these thermal resistance values have to be multiplied by a correction factor K which has to be calculated from the previously mentioned derating curves. The admissible power dissipation P tot max, applicable to low collector voltages, must be divided by the admissible power dissipation P tot for the higher collector voltage : P K tot max v = P tot The complete equation for T j then reads: T j = T amb + P tot R ths + P D K R thc + P I K r thc s t p ITT INTERMETALL

11 Technical Information Heat Removal from Transistors The operation of any semiconductor device involves the dissipation of power with a consequent rise in junction temperature. Because the maximum admissible junction temperature must not be exceeded, careful circuit design with due regard not only to the electrical, but also the thermal performance of a semiconductor circuit, is essential. K/W 7 Aluminium Cooling Fin Al If the dissipated power is low, then sufficient heat is radiated from the surface of the case; if the dissipation is high, however, additional steps may have to be taken to promote this process by reducing the thermal resistance between the junction and the ambient air. This can be achieved either by pushing a star- or flagshaped heat dissipator over the case, or by bolting the semiconductor device to a heat sink. P, the power to be dissipated, T j the junction temperature, and T amb, the ambient temperature are related by the formula P T j T amb = = R tha T j T amb R thc + R ths R ths 7 Thickness mm. where R tha is the total thermal resistance between junction and ambient air. The total thermal resistance in turn comprises an internal thermal resistance R thc between the junction and the mounting base, and an outer thermal resistance R ths between the case and the surrounding air (or any other cooling medium). It should be noted that only the outer thermal resistance is affected by the design of the heat sink. To determine the size of the heat sink required to meet given operating conditions, proceed as follows: First calculate the outer thermal resistance by use of the formula T j T amb R ths < R P thc and then, by use of the diagrams, determine the size of the heat sink which provides the calculated R ths -value. To determine the maximum admissible device dissipation and ambient temperature limit for a given heat sink, proceed in the reverse order to that described above. The calculations are based on the following assumptions: Use of a squareshaped heat sink without any finish, mounted in a vertical position; semiconductor device located in the centre of the sink; heat sink operated in still air and not subjected to any additional heat radiation. The calculated area should be increased by a factor of. if the sink is mounted horizontally, and can be reduced by a factor of approximately.7 if a black finish is used. K/W 7 R ths cm Length of edge S Steel Cooling Fin Fe Thickness mm. The curves give the thermal to ambient resistance of square vertical heat sinks as a function of side length. It is assumed that the heat is applied at the center of the square cm Length of edge S ITT INTERMETALL

12 Technical Information Basic Circuits There are three basic transistor circuits. They are called according to that electrode (emitter, base, collector) which is common to both input and output circuit. i Four-Pole-Symbols of h-matrix A transistor can be considered as an active four-pole network. When driven with small low-frequency signals its properties can be described by the four characteristic values of the h- (hybrid) matrix, which are assumed to be real. i i i v v i i Common Emitter v Transistor v four pole If expressed this in matrix form we obtain: v i = ( h) ""dfoisdufoifus( h) = i v v = h i i + h r v i = h f i + h o v h i ah r h f ah o v v Explanation of h-parameters Input impedance (shorted output, v = ): i Common Base v h i = ---- i Reverse voltage transfer ratio (open input, i = ): i v v v h r = ---- v Small-signal current gain (shorted output, v = ): Common Collector Properties of the three basic circuits: Common Emitter Common Base Common Collector Input impedance medium small high Output impedance medium high small i h f = -- i Output admittance (open input, i = ): i h o = ---- v A frequently used abbreviation is the determinant: h = h i h o h r h f For all three basic circuit configurations the circuit illustrated below represents the equivalent four-pole circuit using h-parameters. i i Current gain high less than high v h i h o v Upper frequency limit low high low hr v h f i In the transistor data sheets the h-parameters are usually quoted for the common emitter configuration and for a given operating point (bias). The latter is determined by the collector voltage, the emitter or collector current and by the ambient temperature. For different operating points, correction factors are needed which can be gathered from the relevant curves. For common base or common collector transistor stage calculations, the appropriate h-parameters are ascertained from those of the common emitter configuration by using the following conversion formulas. ITT INTERMETALL

13 Technical Information Common Emitter Common Base Common Collector Input impedance h ie h ib = h ic = h ie + h fe h Reverse voltage transfer ratio h ie h oe re h rb = h re h rc = h re + h fe h ie Small-signal current gain h fe h fb = h fc = + h fe + h fe h fe Output admittance h oe h ob = h oc = h oe + h fe h oe Calculation of a Transistor Stage Four-Pole Symbols of y-matrix R G v i i Z Transistor four pole Z v R L Whereas the network behaviour of of low-frequency transistors could be described by using the h- (hybrid) matrix, the y- (admittance) matrix is usually employed for high frequency transistors. i i i i Input impedance v Transistor four pole v v y i y r v y f v y o v v Z = ---- = i h i + R L h h o R L i = y i v + y r v Output impedance v Z = ---- = i Current gain h i + R G h + h o R G i = y f v + y o v In matrix form we obtain: i = i ( y) ( y) = y i y f y r y o i G c = -- = i oltage gain v G v = ---- = v Power gain h f + h o R L v G i p = = v i h f R L h i + R L h h f R L ( + h o R L )( h i + R L h) Max. available power gain, input and output matched with R G opt resp. R L opt G p max = h f h + h i h o h R i h h G opt = R L opt = i h o h o h The y-parameters are complex values which can be expressed as y ik = g ik + jb ik with b ik = ωc ik or with b ik = Often, the following notation is expedient: y ik = l y ik l exp jϕik By adding the suffix e, b, or c it is possible to indicate to which of the three basic circuit configurations the parameters are valid. Explanation of y-parameters Input admittance (shorted output, v = ) i y i = ---- v Reverse transconductance (shorted input, v = ) i y r = ---- v ωl ik ITT INTERMETALL

14 Technical Information Forward transconductance (shorted output, v = ) i y f = ---- v Output admittance (shorted input, v = ) i y o = ---- v Max. available power gain, input and output matched with R G opt resp. R L opt G p max = y f y + y i y o Max. available power gain will be attained if input and output are matched, where: The determinant reads y = y i y o y r y f R L opt = y o y i y Conversion from y-parameters to h-parameters y h i = -- h r y y r = --- h = ---- o i y i y i R G opt = y i y o y h f y f y = --- h y o = i y i and: y = y i y o y r y f Calculation of a Transistor Stage i i R G Transistor v Z Z v RL four pole Input impedance v Z + y ---- o R = = L i y i + y R L Output impedance v Z = ---- = i Current gain i G c = -- = i oltage gain v G v = ---- = v Power gain + y i R G y o + y R G y f y i + y R L y f R L + y o R L v G i p = = v i y f R L ( + y o R L )( y i + y R L ) Available power gain, input matched with R G opt y G f R G R L p av = ([ y + y R L ) R G + + y o R L ] ITT INTERMETALL

15 Technical Information Switching Times Definitions for the various times which make up the total switching time can be gathered from the diagram below in which the switching characteristic of a transistor in common-emitter configuration is illustrated. I B I B I B t I C I c R L. I C.9I C I C I B I B t t d t r t s tf t d t r t s t f t on = t d + t r t off = t s + t f Delay time Rise time Storage time Fall time Turn-on time Turn-off time The duration of the switching times depends upon the transistor type and very much on the circuit arrangement. With increasing saturation of the transistor the turn-on time decreases and the turn-off time increases. An increase of the turn-off current l B shortens the turn-off time. The switching times depend on the duration of the turn-on pulse. It is only when the duration of this pulse is a multiple of the switching times that the latter remain constant. If the pulse is shorter, especially the storage time decreases. With a pulse duration in the region of the turn-on time the transistor is no longer fully saturated. The collector voltage then exhibits a characteristic such as is qualitatively represented in the diagram below. CE ) CC..9 CEmin t p t s tf ITT INTERMETALL

16 Quality ITT INTERMETALL s Quality Assurance and Reliability System Our iew of Quality and Reliability ITT INTERMETALL gives the highest priority to developing, manufacturing, and delivering products that satisfy all our customers with respect to product performance, quality, reliability, on-time delivery, and competitive prices. Therefore, ITT INTERMETALL has implemented quality and reliability assurance activities in all phases of the product cycle from business development through product design, mass production, shipment, and marketing. Each department is responsible for the quality of its output and also each individual for the quality of his/her work. The quality system is based on the ISO 9 concept. The system and the processes are continuously improved so that a steady progress in product quality can be achieved. Quality Assurance during Product Development The quality and reliability of a product are built-in during the product development and design phase. In IC development, functional simulations, design rule checks, and detailed resource planning are performed to get good quality products to the market in time. Design reviews control the progress of development projects by measuring performance data against the targeted specification. The product release for production is determined by the results of extensive product performance evaluation as well as quality and reliability testing on prototypes. Quality Control of Outgoing Products Although the quality and reliability is built into the products during products development and production, it is also verified by inspections. Outgoing inspection of samples generates quality data that is fed back to previous processes for corrective actions. A reliability monitoring program is installed to verify product reliability. Failure analysis is performed to find the root cause. The documented information is fed back to development and production departments for further product improvements. Reliability data is also used to predict product lifetime under specific environmental conditions. Quality Control in the Market Close contacts with key customers enable ITT INTER- METALL to collect quality information from the market. If a customer returns a failing product, it is subjected to detailed failure analysis until root causes are found. The history of the product, the results of the failure analysis, and the root causes are entered into a Quality Data Base (QDB). The evaluation of the data base is used to prioritize corrective action programs in all departments. Quality Assurance during Mass Production In a manufacturing line, processes are controlled by monitoring the relevant process parameters (SPC). ariations in processes are continuously reduced to increase yield, product performance, quality, and reliability. State-of-the-art production equipment and stable processes are essential for good quality products at competitive prices. In order to achieve these goals, a wide variety of design and process changes are made after production release. Detailed qualification procedures ensure that these changes maintain or improve the quality and reliability of the products. 6 ITT INTERMETALL

17 Quality Product Quality and Assurance System Marketing Development Engineering Quality Manufacturing Market Research Planning Definition of Product Specifications (Pflichtenheft) Quality Standard Process Decision on Project Start Design of Product and Process Design Design Review Evaluation of Parts and Material Prototype Production / Performance Evaluation Quality and Reliability Evaluation on Prototype Pilot Production Design Approval Standardization of Material and Process Qualification Testing / Quality and Reliability Production Release olume Production Continuous Improvement of Technology and Performance Supplier Ranking Incoming Inspection Reliability Monitoring Q-Training and Education Internal Audits Process Control Facility Control Assurance of Quality, Cost and Delivery Delivery and Shipment Market Customer Service Corrective Actions Quality Information and Failure Analysis ITT Semiconductors 7

18 8 ITT INTERMETALL

19 Small-Signal Transistors (NPN) ITT INTERMETALL 9

20 N9 NPN Silicon Epitaxial Planar Transistor for switching and amplifier applications..6.6 As complementary type, the PNP transistor N96 is recommended. On special request, this transistor is also manufactured in the pin configuration TO-8. max... E C B TO-9 Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Base oltage CBO 6 Collector-Emitter oltage CEO Emitter-Base oltage EBO 6 Collector Current I C ma Peak Collector Current I CM ma Power Dissipation at T amb = C P tot ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

21 N9 Characteristics at T amb = C Symbol Min. Typ. Max. Unit DC Current Gain at CE =, I C =. ma at CE =, I C = ma at CE =, I C = ma at CE =, I C = ma at CE =, I C = ma h FE h FE h FE h FE h FE 7 6 Thermal Resistance Junction to Ambient Air R tha ) K/W Collector Saturation oltage at I C = ma, I B = ma at I C = ma, I B = ma CEsat CEsat.. Base Saturation oltage at I C = ma, I B = ma at I C = ma, I B = ma BEsat BEsat.8.9 Collector-Emitter Cutoff Current EB =, CE = Emitter-Base Cutoff Current EB =, CE = Collector-Base Breakdown oltage at I C = µa, I E = Collector-Emitter Breakdown oltage at I C = ma, I B = Emitter-Base Breakdown oltage at I E = µa, I C = Gain-Bandwidth Product at CE =, I C = ma, f = MHz Collector-Base Capacitance at CB =, f = khz Emitter-Base Capacitance at EB =., f = khz Input Impedance at CE =, I C = ma, f = khz oltage Feedback Ratio at CE =, I C = ma, f = khz I CE na I EB na (BR)CBO 6 (BR)CEO (BR)EBO 6 f T MHz C CBO pf C EBO 8 pf h ie kω h re. 8 ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

22 N9 Characteristics, continuation Symbol Min. Typ. Max. Unit Small-Signal Current Gain at CE =, I C = ma, f = khz Output Admittance at CE =, I C = ma, f = khz Noise Figure at CE =, I C = µa, R G = kω, f = Hz Delay Time (see Fig. ) at I B = ma, I C = ma Rise Time (see Fig. ) at I B = ma, I C = ma Storage Time (see Fig. ) at I B = I B = ma, I C = ma Fall Time (see Fig. ) at I B = I B = ma, I C = ma h fe h oe µs db t d ns t r ns t s ns t f ns ns DUTY CYCLE = % -. <. ns +.9 K +. 7 C <. pf* < t < s DUTY CYCLE = % - 9. t +.9 <. ns K +. 7 C <. pf* Fig. : Test circuit for delay and rise time Fig. : Test circuit for storage and fall time * total shunt capacitance of test jig and connectors * total shunt capacitance of test jig and connectors ITT INTERMETALL

23 N9 ITT INTERMETALL

24 N NPN Silicon Epitaxial Transistor for switching and amplifier applications. Especially suitable for AF-driver and low-power output stages..6.6 As complementary type, the PNP transistor N6 is recommended. max... E C B TO-9 Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Emitter oltage CEO Collector-Base oltage CBO Emitter-Base oltage EBO Collector Current I C ma Peak Collector Current I CM 8 ma Base Current I B ma Power Dissipation at T amb = C P tot 6 ) mw Junction Temperature T j C Storage Temperature Range T S 6 to + C ) alid provided that leads are kept at ambient temperature at a distance of mm from case. ITT INTERMETALL

25 N Characteristics at T amb = C Symbol Min. Typ. Max. Unit DC Current Gain at CE =, I C =. ma at CE =, I C = ma h FE h FE 6 6 Collector-Base Cutoff Current at CB = Emitter-Base Cutoff Current at EB = Collector Saturation oltage at I C = ma, I B = ma Base Saturation oltage at I C = ma, I B = ma Collector-Emitter Breakdown oltage at I C = ma Collector-Base Breakdown oltage at I C = µa Emitter-Base Breakdown oltage at I E = µa Gain-Bandwidth Product at CE =, I C = ma, f = MHz Collector-Base Capacitance at CB =, f = MHz I CBO na I EBO na CESAT. BESAT.9 (BR)CEO (BR)CBO (BR)EBO f T MHz C CBO pf Thermal Resistance Junction to Ambient Air R tha ) K/W ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

26 N Admissible power dissipation versus ambient temperature alid provided that leads are kept at ambient temperature at a distance of mm from case Collector current versus base-emitter voltage W N ma C N.8 P tot I C C - C.6 typical limits at T amb= C.. T amb C - BE Pulse thermal resistance versus pulse duration alid provided that leads are kept at ambient temperature at a distance of mm from case Gain-bandwidth product versus collector current K/W r tha..... ν =.. N s t p t p ν = tp T T P I MHz 7 f T 7 N T amb = C f = MHz = CE ma I C 6 ITT INTERMETALL

27 N Collector saturation voltage versus collector current DC current gain versus collector current.. CEsat typical limits at T amb = C IC = I B N 7 h FE CE = C N. T amb = C - C. 7. C C - C - ma IC - I C Base saturation voltage versus collector current Common emitter collector characteristics BEsat typical limits at T amb = C I C = I B - C C N ma I C. N C. - ma IC CE I =. ma B ITT INTERMETALL 7

28 N Common emitter collector characteristics Common emitter collector characteristics ma N ma.9.8 N 8.. I C I C I =. ma B.7 BE =.7 CE CE 8 ITT INTERMETALL

29 N ITT INTERMETALL 9

30 BC7, BC8 NPN Silicon Epitaxial Planar Transistors for switching and amplifier applications. Especially suitable for AF-driver stages and low power output stages..6.6 These types are also available subdivided into three groups -6, -, and -, according to their DC current gain. As complementary types, the PNP transistors BC7 and BC8 are recommended. On special request, these transistors are also manufactured in the pin configuration TO-8. max... C E B TO-9 Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Emitter oltage BC7 BC8 CES CES Collector-Emitter oltage BC7 BC8 CEO CEO Emitter-Base oltage EBO Collector Current I C 8 ma Peak Collector Current I CM A Base Current I B ma Power Dissipation at T amb = C P tot 6 ) mw Junction Temperature T j C Storage Temperature Range T S 6 to + C ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

31 BC7, BC8 Characteristics at T amb = C Symbol Min. Typ. Max. Unit DC Current Gain at CE =, I C = ma Current Gain Group at CE =, I C = ma Current Gain Group h FE h FE h FE h FE h FE h FE Collector-Emitter Cutoff Current at CE = at CE = at CE =, T amb = C at CE =, T amb = C BC7 BC8 BC7 BC8 I CES I CES I CES I CES na na µa µa Collector-Emitter Breakdown oltage at I C = ma BC8 BC7 (BR)CEO (BR)CEO Collector-Emitter Breakdown oltage at I C =. ma BC8 BC7 (BR)CES (BR)CES Emitter-Base Breakdown oltage at I E =. ma Collector Saturation oltage at I C = ma, I B = ma Base-Emitter oltage at CE =, I C = ma Gain-Bandwidth Product at CE =, I C = ma, f = MHz Collector-Base Capacitance at CB =, f = MHz (BR)EBO CEsat.7 BE. f T MHz C CBO pf Thermal Resistance Junction to Ambient Air R tha ) K/W ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

32 BC7, BC8 Admissible power dissipation versus ambient temperature alid provided that leads are kept at ambient temperature at a distance of mm from case Collector current versus base-emitter voltage W BC7, BC8 ma C BC7, BC8.8 P tot I C C - C.6 typical limits at T amb= C.. T amb C - BE Pulse thermal resistance versus pulse duration alid provided that leads are kept at ambient temperature at a distance of mm from case Gain-bandwidth product versus collector current K/W r tha..... ν =.. BC7, BC s t p t p ν = tp T T P I MHz 7 f T 7 BC7, BC8 T amb = C f = MHz = CE ma I C ITT INTERMETALL

33 BC7, BC8 Collector saturation voltage versus collector current DC current gain versus collector current.. CEsat typical limits at T amb = C IC = I B BC7, BC8 7 h FE CE = C BC7, BC8. T amb = C - C. 7. C C - C - ma IC - I C Base saturation voltage versus collector current Common emitter collector characteristics BEsat typical limits at T amb = C I C = I B - C C BC7, BC8 ma I C. BC7, BC C. - ma IC CE I =. ma B ITT INTERMETALL

34 BC7, BC8 Common emitter collector characteristics Common emitter collector characteristics ma BC7, BC8 ma.9 BC7, BC I C I C I =. ma B.7 BE =.7 CE CE ITT INTERMETALL

35 BC7, BC8 ITT INTERMETALL

36 BC6 BC9 NPN Silicon Epitaxial Planar Transistors.6.6 These transistors are subdivided into three groups A, B and C according to their current gain. The type BC6 is available in groups A and B, however, the types BC7 and BC8 can be supplied in all three groups. The BC9 is a low-noise type and available in groups B and C. As complementary types, the PNP transistors BC6 BC9 are recommended. On special request, these transistors are also manufactured in the pin configuration TO-8. max... C E B TO-9 Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Base oltage BC6 BC7 BC8, BC9 CBO CBO CBO 8 Collector-Emitter oltage BC6 BC7 BC8, BC9 CES CES CES 8 Collector-Emitter oltage BC6 BC7 BC8, BC9 CEO CEO CEO 6 Emitter-Base oltage BC6, BC7 BC8, BC9 EBO 6 EBO Collector Current I C ma Peak Collector Current I CM ma Peak Base Current I BM ma Peak Emitter Current I EM ma Power Dissipation at T amb = C P tot ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) alid provided that leads are kept at ambient temperature at a distance of mm from case 6 ITT INTERMETALL

37 BC6 BC9 Characteristics at T amb = C Symbol Min. Typ. Max. Unit h-parameters at CE =, I C = ma, f = khz, Small Signal Current Gain Current Gain Group A B C Input Impedance Current Gain Group A B Output Admittance C Current Gain Group A B C Reverse oltage Transfer Ratio Current Gain Group A B C h fe h fe h fe h ie h ie h ie h oe h oe h oe h re h re h re kω kω kω µs µs µs DC Current Gain at CE =, I C = µa Current Gain Group A B C at CE =, I C = ma Current Gain Group A B C at CE =, I C = ma Current Gain Group A B C h FE h FE h FE h FE h FE h FE h FE h FE h FE Thermal Resistance Junction to Ambient Air R tha ) K/W Collector Saturation oltage at I C = ma, I B =. ma at I C = ma, I B = ma CEsat CEsat 8 6 m m Base Saturation oltage at I C = ma, I B =. ma at I C = ma, I B = ma BEsat BEsat 7 9 m m Base-Emitter oltage at CE =, I C = ma at CE =, I C = ma BE BE m m Collector-Emitter Cutoff Current at CE = 8 at CE = BC6 BC7 I CES I CES.. na na at CE = BC8, BC9 I CES. na at CE = 8, T j = C at CE =, T j = C BC6 BC7 I CES I CES µa µa ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL 7

38 BC6 BC9 Characteristics, continuation Symbol Min. Typ. Max. Unit at CE =, T j = C BC8, BC9 I CES µa µa Gain-Bandwidth Product at CE =, I C = ma, f = MHz Collector-Base Capacitance at CB =, f = MHz Emitter-Base Capacitance at EB =., f = MHz f T MHz C CBO. 6 pf C EBO 9 pf Noise Figure at CE =, I C = µa, R G = kω, f = khz, f = Hz BC6, BC7 F db at CE =, I C = µa, R G = kω, f = Hz BC8 BC9 BC9 F F.. db db Admissible power dissipation versus temperature alid provided that leads are kept at ambient temperature at a distance of mm from case Pulse thermal resistance versus pulse duration alid provided that leads are kept at ambient temperature at a distance of mm from case mw BC6...BC9 K/W BC6...BC9 P tot r tha ν = t p ν = tp T P I T amb C s T t p 8 ITT INTERMETALL

39 BC6 BC9 DC current gain versus collector current Collector-base cutoff current versus ambient temperature CE = BC6...BC9 na BC6...BC9 h FE C T amb = C - C I CBO - - ma I C - Test voltage CBO: equal to the given maximum value CES typical maximum T amb C Collector current versus base-emitter voltage Collector saturation voltage versus collector current ma CE = BC6...BC9. I C I B = BC6...BC9 I C. CEsat C. - T amb = C - C. BE. T amb = C. C - C - ma I C ITT INTERMETALL 9

40 BC6 BC9 Collector-base capacitance, Emitter-base capacitance versus reverse bias voltage Relative h-parameters versus collector current pf BC6...BC9 BC6...BC9 6 C 8 CBO C EBO 6 C EBO h e (I C) h e (I C = ma) 6 h ie h re C CBO h fe 6 h oe. T = C amb.. - CE = T amb= C - ma CBO, EBO I C Gain-bandwidth product versus collector current Noise figure versus collector current MHz 7 f T BC6...BC9 T = C amb CE = F db 8 6 CE = f = Hz T amb = C BC9 R G= M k k k k. ma ma I C I C ITT INTERMETALL

41 BC6 BC9 Noise figure versus collector current Noise figure versus collector emitter voltage F db 8 6 CE = f = khz T = C amb BC9 F db 8 6 I C =. ma R G = k f = khz f = Hz T amb= C BC9 8 R G= M k k k ma - I C CE ITT INTERMETALL

42 BC87, BC88 NPN Silicon Epitaxial Planar Transistors for switching, AF driver and amplifier applications. Especially suited for automatic insertion in thick- and thin-film circuits. These transistors are subdivided into three groups -6, - and - according to their current gain. As complementary types, the PNP transistors BC87 and BC88 are recommended Top iew Pin configuration = Collector, = Base, = Emitter. Marking code Type BC BC Marking 6A 6B 6C 6E 6F 6G.. SOT- Plastic Package Weight approx..8 g Dimensions in mm. +. Absolute Maximum Ratings Symbol alue Unit Collector-Emitter oltage BC87 BC88 CES CES Collector-Emitter oltage BC87 BC88 CEO CEO Emitter-Base oltage EBO Collector Current I C 8 ma Peak Collector Current I CM ma Peak Base Current I BM ma Peak Emitter Current I EM ma Power Dissipation at T SB = C P tot ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) Device on fiberglass substrate, see layout ITT INTERMETALL

43 BC87, BC88 Characteristics at T amb = C Symbol Min. Typ. Max. Unit DC Current Gain at CE =, I C = ma Current Gain Group at CE =, I C = ma h FE h FE h FE h FE h FE h FE Thermal Resistance Junction Substrate Backside R thsb ) K/W Thermal Resistance Junction to Ambient Air R tha ) K/W Collector Saturation oltage at I C = ma, I B = ma Base-Emitter oltage at CE =, I C = ma CEsat.7 BE. Collector-Emitter Cutoff Current at CE = at CE = at CE =, T j = C BC87 BC88 I CES I CES I CES na na µa Emitter-Base Cutoff Current at EB = Gain-Bandwidth Product at CE =, I C = ma, f = MHz Collector-Base Capacitance at CB =, f = MHz I EBO na f T MHz C CBO pf ) Device on fiberglass substrate, see layout Layout for R tha test Thickness: Fiberglass. mm Copper leads. mm ITT INTERMETALL

44 BC87, BC88 Admissible power dissipation versus temperature of substrate backside Device on fiberglass substrate, see layout Collector current versus base-emitter voltage mw BC87, BC88 ma C BC87, BC88 P tot I C C - C typical limits at T amb= C T SB C - BE Pulse thermal resistance versus pulse duration (normalized) Device on fiberglass substrate, see layout Gain-bandwidth product versus collector current r thsb. R thsb ν = BC87, BC s t p t p t ν = p T T P I MHz 7 f T 7 BC87, BC88 T amb = C f = MHz CE = ma I C ITT INTERMETALL

45 BC87, BC88 Collector saturation voltage versus collector current DC current gain versus collector current.. CEsat typical limits at T amb = C IC = I B BC87, BC88 7 h FE CE = C BC87, BC88. T amb = C - C. 7. C C - C - ma IC - ma I C Base saturation voltage versus collector current Common emitter collector characteristics BEsat typical limits at T amb = C IC = I B - C C BC87, BC88 ma I C. BC87, BC C. - ma IC CE I =. ma B ITT INTERMETALL

46 BC87, BC88 Common emitter collector characteristics Common emitter collector characteristics ma BC87, BC88 ma.9 BC87, BC I C I C I =. ma B.7 BE =.7 CE CE 6 ITT INTERMETALL

47 BC87, BC88 ITT INTERMETALL 7

48 BC86 BC89 NPN Silicon Epitaxial Planar Transistors for switching and AF amplifier applications. Especially suited for automatic insertion in thick- and thin-film circuits. +.. Top iew These transistors are subdivided into three groups A, B and C according to their current gain. The type BC86 is available in groups A and B, however, the types BC87 and BC88 can be supplied in all three groups. The BC89 is a low noise type available in groups B and C. As complementary types, the PNP transistors BC86 BC89 are recommended. Pin configuration = Collector, = Base, = Emitter. Marking code SOT- Plastic Package Weight approx..8 g Dimensions in mm. +. Type Marking Type Marking BC86A B BC87A B C A B E F G BC88A B C BC89B C J K L B C Absolute Maximum Ratings Symbol alue Unit Collector-Base oltage BC86 BC87 BC88, BC89 CBO CBO CBO 8 Collector-Emitter oltage BC86 BC87 BC88, BC89 CES CES CES 8 Collector-Emitter oltage BC86 BC87 BC88, BC89 CEO CEO CEO 6 Emitter-Base oltage BC86, BC87 BC88, BC89 EBO 6 EBO Collector Current I C ma Peak Collector Current I CM ma Peak Base Current I BM ma Peak Emitter Current I EM ma Power Dissipation at T SB = C P tot ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) Device on fiberglass substrate, see layout 8 ITT INTERMETALL

49 BC86 BC89 Characteristics at T amb = C Symbol Min. Typ. Max. Unit h-parameters at CE =, I C = ma, f = khz, Small-Signal Current Gain Current Gain Group A B C Input Impedance Current Gain Group A B Output Admittance C Current Gain Group A B C Reverse oltage Transfer Ratio Current Gain Group A B C h fe h fe h fe h ie h ie h ie h oe h oe h oe h re h re h re kω kω kω µs µs µs DC Current Gain at CE =, I C = µa Current Gain Group A B C at CE =, I C = ma Current Gain Group A B C h FE h FE h FE h FE h FE h FE Thermal Resistance Junction to Substrate Backside R thsb ) K/W Thermal Resistance Junction to Ambient Air R tha ) K/W Collector Saturation oltage at I C = ma, I B =. ma at I C = ma, I B = ma CEsat CEsat 9 6 m m Base Saturation oltage at I C = ma, I B =. ma at I C = ma, I B = ma BEsat BEsat 7 9 m m Base-Emitter oltage at CE =, I C = ma at CE =, I C = ma BE BE m m Collector-Emitter Cutoff Current at CE = 8 BC86 at CE = BC87 at CE = BC88, BC89 at CE = 8, T j = C BC86 at CE =, T j = C BC87 at CE =, T j = C BC88, BC89 I CES I CES I CES I CES I CES I CES... na na na µa µa µa Gain-Bandwidth Product at CE =, I C = ma, f = MHz f T MHz ) Device on fiberglass substrate, see layout ITT INTERMETALL 9

50 BC86 BC89 Characteristics, continuation Symbol Min. Typ. Max. Unit Collector-Base Capacitance at CB =, f = MHz Emitter-Base Capacitance at EB =., f = MHz C CBO. 6 pf C EBO 9 pf Noise Figure at CE =, I C = µa, R G = kω, f = khz, f = Hz BC86, BC87, BC88 BC89 F F. db db at CE =, I C = µa, R G = kω, f = Hz BC89 F. db Layout for R tha test Thickness: Fiberglass. mm Copper leads. mm ITT INTERMETALL

51 BC86 BC89 Admissible power dissipation versus temperature of substrate backside Device on fiberglass substrate, see layout Pulse thermal resistance versus pulse duration (normalized) Device on fiberglass substrate, see layout mw BC86...BC89 BC86...BC89. r thsb. P tot R thsb ν = t p t ν = p T T P I T SB C s t p DC current gain versus collector current Collector-Base cutoff current versus ambient temperature CE = BC86...BC89 na BC86...BC89 h FE C T amb = C - C I CBO - - ma I C - Test voltage CBO: equal to the given maximum value CES typical maximum T amb C ITT INTERMETALL

52 BC86 BC89 Collector current versus base-emitter voltage Collector saturation voltage versus collector current ma CE = BC86...BC89. I C I B = BC86...BC89 I C. CEsat C. T amb = C - C. T amb = C. C -. BE - C - ma I C Collector base capacitance, Emitter base capacitance versus reverse bias voltage Relative h-parameters versus collector current pf BC86...BC89 BC86...BC89 6 C 8 CBO C EBO 6 C EBO h e (I C) h (I = ma) e C 6 h ie h re C CBO h fe 6 h oe. T = C amb.. CBO, EBO - CE = T amb= C - I C ma ITT INTERMETALL

53 BC86 BC89 Gain-bandwidth product versus collector current Noise figure versus collector current MHz 7 f T BC86...BC89 T = C amb CE = F db 8 6 CE = f = Hz T amb = C BC86...BC89 R G= M k k k k. ma ma I C I C Noise figure versus collector current Noise figure versus collector emitter voltage F db 8 6 CE = f = khz T amb = C BC86, BC89 F db 8 6 I C =. ma R G = k f = khz f = Hz T = C amb BC86, BC89 8 R G= M k k k ma - I C CE ITT INTERMETALL

54 BF, BF NPN Silicon Epitaxial Planar Transistors especially suited for application in class-b video output stages of T receivers and monitors..6.6 As complementary types, the PNP transistors BF and BF are recommended max... E B C TO-9 Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Base oltage BF BF CBO CBO Collector-Emitter oltage BF CEO Collector-Emitter oltage BF CER Emitter-Base oltage EBO Collector Current I C ma Peak Collector Current I CM ma Power Dissipation at T amb = C P tot 8 ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

55 BF, BF Characteristics at T amb = C Symbol Min. Typ. Max. Unit Collector-Base Breakdown oltage at I C = µa, I B = BF BF (BR)CBO (BR)CBO Collector-Emitter Breakdown oltage at I C = ma, I E = Collector-Emitter Breakdown oltage at R BE =.7 kω, I C = ma Emitter-Base Breakdown oltage at I E = µa, I B = Collector-Base Cutoff Current at CB =, I E = BF BF (BR)CEO (BR)CER (BR)EBO I CBO na Collector-Emitter Cutoff Current at R BE =.7 kω, CE = at R BE =.7 kω, CE =, T j = C I CER I CER na µa Collector Saturation oltage at I C = ma, I B = ma DC Current Gain at CE =, I C = ma Gain-Bandwidth Product at CE =, I C = ma Feedback Capacitance at CE =, I C =, f = MHz CEsat.6 h FE f T 6 MHz C re.6 pf Thermal Resistance Junction to Ambient Air R tha ) K/W ) alid provided that leads are kept at ambient temperature at a distance of mm from case ITT INTERMETALL

56 BF8, BF8 NPN Silicon Epitaxial Planar Transistors especially suited for application in class-b video output stages of T receivers and monitors. As complementary types, the PNP transistors BF8 and BF8 are recommended. Pin configuration = Collector, = Base, = Emitter. Marking code BF8 = BF8 = X Top iew. +. SOT- Plastic Package Weight approx..8 g Dimensions in mm Absolute Maximum Ratings Symbol alue Unit Collector-Base oltage BF8 BF8 CBO CBO Collector-Emitter oltage BF8 CEO Collector-Emitter oltage BF8 CER Emitter-Base oltage EBO Collector Current I C ma Peak Collector Current I CM ma Power Dissipation at T SB = C P tot ) mw Junction Temperature T j C Storage Temperature Range T S 6 + C ) Device on fiberglass substrate, see layout 6 ITT INTERMETALL

57 BF8, BF8 Characteristics at T amb = C Symbol Min. Typ. Max. Unit Collector-Base Breakdown oltage at I C = µa, I B = BF8 BF8 (BR)CBO (BR)CBO Collector-Emitter Breakdown oltage at I C = ma, I E = Collector-Emitter Breakdown oltage at R BE =.7 kω, I C = ma Emitter-Base Breakdown oltage at I E = µa, I B = Collector-Base Cutoff Current at CB =, I E = BF8 BF8 (BR)CEO (BR)CER (BR)EBO I CBO na Collector-Emitter Cutoff Current at R BE =.7 kω, CE = at R BE =.7 kω, CE =, T j = C I CER I CER na µa Collector Saturation oltage at I C = ma, I B = ma DC Current Gain at CE =, I C = ma Gain-Bandwidth Product at CE =, I C = ma Feedback Capacitance at CE =, I C =, f = MHz CEsat.6 h FE f T 6 MHz C re.6 pf Thermal Resistance Junction to Ambient Air R tha ) K/W ) Device on fiberglass substrate, see layout Layout for R tha test Thickness: Fiberglass. mm Copper leads. mm ITT INTERMETALL 7

BC546 / 547 / 548. Small Signal Transistors (NPN) Vishay Semiconductors

BC546 / 547 / 548. Small Signal Transistors (NPN) Vishay Semiconductors Small Signal Transistors (NPN) Features NPN Silicon Epitaxial Planar Transistors These transistors are subdivided into three groups A, B, and C according to their current gain. The type BC546 is available

More information

General Purpose Transistors

General Purpose Transistors General Purpose Transistors NPN and PNP Silicon These transistors are designed for general purpose amplifier applications. They are housed in the SOT 33/SC which is designed for low power surface mount

More information

2N3904 SMALL SIGNAL NPN TRANSISTOR

2N3904 SMALL SIGNAL NPN TRANSISTOR SMALL SIGNAL NPN TRANSISTOR PRELIMINARY DATA Ordering Code Marking Package / Shipment TO-92 / Bulk -AP TO-92 / Ammopack SILICON EPITAXIAL PLANAR NPN TRANSISTOR TO-92 PACKAGE SUITABLE FOR THROUGH-HOLE PCB

More information

Pb-free (RoHS compliant) package Qualified according AEC Q101 C1 (2) Type Marking Pin Configuration Package BCV62A BCV62B BCV62C 2 = C1 2 = C1 2 = C1

Pb-free (RoHS compliant) package Qualified according AEC Q101 C1 (2) Type Marking Pin Configuration Package BCV62A BCV62B BCV62C 2 = C1 2 = C1 2 = C1 PNP Silicon Double Transistor To be used as a current mirror Good thermal coupling and V BE matching High current gain Low collector-emitter saturation voltage 1 2 Pb-free (RoHS compliant) package Qualified

More information

DATA SHEET. BC556; BC557 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1997 Mar 27.

DATA SHEET. BC556; BC557 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1997 Mar 27. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D186 Supersedes data of 1997 Mar 27 FEATURES Low current (max. 100 ma) Low voltage (max. 65 V). APPLICATIONS General purpose switching and amplification.

More information

Type Marking Pin Configuration Package BCX42 BSS63 1 = B 1 = B 2 = E 2 = E

Type Marking Pin Configuration Package BCX42 BSS63 1 = B 1 = B 2 = E 2 = E , PNP Silicon AF an Swiching Transistors For general AF applications High breakdown voltage Low collectoremitter saturation voltage Complementary types: BCX4, BSS64 (NPN) VPS6 Type Marking Pin Configuration

More information

Type Marking Pin Configuration Package BCM846S 1Ms 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SOT363

Type Marking Pin Configuration Package BCM846S 1Ms 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SOT363 NPN Silicon AF Transistor Array Precision matched transistor pair: I C % For current mirror applications Low collectoremitter saturation voltage Two (galvanic) internal isolated Transistors Complementary

More information

C1 (2) C2 (1) E1 (3) E2 (4) Type Marking Pin Configuration Package BCV61B BCV61C 2 = C1 2 = C1 1 = C2 1 = C2

C1 (2) C2 (1) E1 (3) E2 (4) Type Marking Pin Configuration Package BCV61B BCV61C 2 = C1 2 = C1 1 = C2 1 = C2 NPN Silicon Double Transistor To be used as a current mirror Good thermal coupling and V BE matching High current gain Low collectoremitter saturation voltage C1 (2) C2 (1) 2 Tr.1 Tr.2 1 VPS05178 E1 ()

More information

350mW, PNP Small Signal Transistor

350mW, PNP Small Signal Transistor 35mW, PNP Small Signal Traistor FEATURES - Epitaxial planar die cotruction - Surface device type mounting - Moisture seitivity level - Matte Tin (Sn) lead finish with Nickel (Ni) underplate - Pb free version

More information

DATA SHEET. BC856; BC857; BC858 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr 12

DATA SHEET. BC856; BC857; BC858 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr 12 DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D88 Supersedes data of 1999 Apr 12 22 Feb 4 FEATURES Low current (max. 1 ma) Low voltage (max. 65 V). APPLICATIONS General purpose switching and amplification.

More information

DATA SHEET. BC856; BC857; BC858 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Apr 09

DATA SHEET. BC856; BC857; BC858 PNP general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Apr 09 DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of 23 Apr 9 24 Jan 16 FEATURES Low current (max. 1 ma) Low voltage (max. 65 V). APPLICATIONS General purpose switching and amplification. PINNING PIN

More information

DATA SHEET. BC846; BC847; BC848 NPN general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2002 Feb 04

DATA SHEET. BC846; BC847; BC848 NPN general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2002 Feb 04 DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of 22 Feb 4 24 Feb 6 FEATURES Low current (max. 1 ma) Low voltage (max. 65 V). APPLICATIONS General purpose switching and amplification. PINNING PIN 1

More information

BCW61..., BCX71... PNP Silicon AF Transistors. For AF input stages and driver applications High current gain Low collector-emitter saturation voltage

BCW61..., BCX71... PNP Silicon AF Transistors. For AF input stages and driver applications High current gain Low collector-emitter saturation voltage PNP Silicon AF Transistors For AF input stages and driver applications High current gain Low collectoremitter saturation voltage Low noise between Hz and khz Complementary types: BCW6, BCX7 (NPN) Pbfree

More information

BCW60, BCX70. NPN Silicon AF Transistors. For AF input stages and driver applications High current gain Low collector-emitter saturation voltage

BCW60, BCX70. NPN Silicon AF Transistors. For AF input stages and driver applications High current gain Low collector-emitter saturation voltage BCW6, BCX7 NPN Silicon A Transistors or A input stages and driver applications High current gain Low collectoremitter saturation voltage Low noise between Hz and khz Complementary types: BCW6, BCX7 (PNP)

More information

Type Marking Pin Configuration Package BCX42 DKs 1 = B 2 = E 3 = C SOT23. Maximum Ratings Parameter Symbol Value Unit Collector-emitter voltage V CEO

Type Marking Pin Configuration Package BCX42 DKs 1 = B 2 = E 3 = C SOT23. Maximum Ratings Parameter Symbol Value Unit Collector-emitter voltage V CEO PNP Silicon AF and Switching Transistor For general AF applications High breakdown voltage Low collectoremitter saturation voltage Complementary type: BCX4 (NPN) Pbfree (RoHS compliant) package Qualified

More information

Type Marking Pin Configuration Package BFN24 BFN26 1=B 1=B

Type Marking Pin Configuration Package BFN24 BFN26 1=B 1=B BFN4, BFN6 NPN Silicon HighVoltage Transistors Suitable for video output stages in TV sets and switching power supplies High breakdown voltage Low collectoremitter saturation voltage Complementary type:

More information

NPN/PNP transistor pair connected as push-pull driver in a SOT457 (SC-74) Surface-Mounted Device (SMD) plastic package.

NPN/PNP transistor pair connected as push-pull driver in a SOT457 (SC-74) Surface-Mounted Device (SMD) plastic package. Rev. 0 26 September 2006 Product data sheet. Product profile. General description NPN/PNP transistor pair connected as push-pull driver in a SOT457 (SC-74) Surface-Mounted Device (SMD) plastic package..2

More information

Type Marking Pin Configuration Package BSP60 BSP61 BSP62 2=C 2=C 2=C 3=E 3=E 3=E

Type Marking Pin Configuration Package BSP60 BSP61 BSP62 2=C 2=C 2=C 3=E 3=E 3=E BSP6 PNP Silicon Darlington Transistor High collector current Low collectoremitter saturation voltage Complementary types: BSP0...BSP (NPN) 1 Pbfree (RoHS compliant) package 1) Qualified according AEC

More information

BDP947_BDP949_BDP953. Silicon NPN Transistors. For AF driver and output stages High collector current High current gain

BDP947_BDP949_BDP953. Silicon NPN Transistors. For AF driver and output stages High collector current High current gain BDP97_BDP99_BDP95 Silicon NPN Transistors For AF driver and output stages High collector current High current gain Low collectoremitter saturation voltage Complementary types: BDP98, BDP95, BDP95 (PNP)

More information

Type Marking Pin Configuration Package BFN38 BFN38 1=B 2=C 3=E 4=C - - SOT223

Type Marking Pin Configuration Package BFN38 BFN38 1=B 2=C 3=E 4=C - - SOT223 NPN Silicon HighVoltage Transistors Suitable for video output stages TV sets and switching power supplies High breakdown voltage 2 Low collectoremitter saturation voltage Complementary type: BFN9 (PNP)

More information

65 V, 100 ma NPN/PNP general-purpose transistor. Table 1. Product overview Type number Package NPN/NPN PNP/PNP Nexperia JEITA

65 V, 100 ma NPN/PNP general-purpose transistor. Table 1. Product overview Type number Package NPN/NPN PNP/PNP Nexperia JEITA Rev. 1 17 July 29 Product data sheet 1. Product profile 1.1 General description NPN/PNP general-purpose transistor pair in a very small Surface-Mounted Device (SMD) plastic package. Table 1. Product overview

More information

COMPLEMENTARY NPN/PNP TRANSISTOR

COMPLEMENTARY NPN/PNP TRANSISTOR SEMICONDUCTOR DATA SHEET COMPLEMENTARY NPN/PNP TRANSISTOR FEATURES Complementary Pair One 3904-Type NPN, One 3906-Type PNP Epitaxial Planar Die Construction Ideal for Low Power Amplification and Switching

More information

Type Marking Pin Configuration Package BC BC807-16W BC BC807-25W BC BC807-40W BC BC808-25W BC808-40

Type Marking Pin Configuration Package BC BC807-16W BC BC807-25W BC BC807-40W BC BC808-25W BC808-40 PNP Silicon AF Transistor For general AF applications High collector current High current gain Low collectoremitter saturation voltage Complementary type: BC87.../W, BC88.../W (NPN) Pbfree (RoHS compliant)

More information

DATA SHEET. BC846W; BC847W; BC848W NPN general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr 23

DATA SHEET. BC846W; BC847W; BC848W NPN general purpose transistors DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr 23 DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D12 Supersedes data of 1999 Apr 23 22 Feb 4 FEATURES Low current (max. 1 ma) Low voltage (max. 65 V). APPLICATIONS General purpose switching and amplification.

More information

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package.

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package. Rev. 03 11 December 2009 Product data sheet 1. Product profile 1.1 General description NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device

More information

DISCRETE SEMICONDUCTORS DATA SHEET. book, halfpage M3D302. PBSS4240DPN 40 V low V CEsat NPN/PNP transistor. Product specification 2003 Feb 20

DISCRETE SEMICONDUCTORS DATA SHEET. book, halfpage M3D302. PBSS4240DPN 40 V low V CEsat NPN/PNP transistor. Product specification 2003 Feb 20 DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D32 PBSS424DPN 4 V low V CEsat NPN/PNP transistor 23 Feb 2 FEATURES Low collector-emitter saturation voltage V CEsat High collector current capability

More information

DISCRETE SEMICONDUCTORS DATA SHEET. PMBT3906 PNP switching transistor. Product specification Supersedes data of 1999 Apr 27.

DISCRETE SEMICONDUCTORS DATA SHEET. PMBT3906 PNP switching transistor. Product specification Supersedes data of 1999 Apr 27. DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of 1999 Apr 27 2004 Jan 21 FEATURES Collector current capability I C = 200 ma Collector-emitter voltage V CEO = 40 V. APPLICATIONS General amplification

More information

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package.

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package. Rev. 02 14 July 2005 Product data sheet 1. Product profile 1.1 General description NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD)

More information

150 V, 2 A NPN high-voltage low V CEsat (BISS) transistor

150 V, 2 A NPN high-voltage low V CEsat (BISS) transistor Rev. 0 November 2009 Product data sheet. Product profile. General description NPN high-voltage low V CEsat Breakthrough In Small Signal (BISS) transistor in a medium power SOT223 (SC-73) Surface-Mounted

More information

BC556B, BC557A, B, C, BC558B. Amplifier Transistors. PNP Silicon BC556B PNP AUDIO 100MA 65V 500MW TO92.

BC556B, BC557A, B, C, BC558B. Amplifier Transistors. PNP Silicon BC556B PNP AUDIO 100MA 65V 500MW TO92. B, A, B, C, B Amplifier Transistors PNP Silicon Features PbFree Packages are Available* B PNP AUDIO 1MA 65 5MW TO92 COLLECTOR 1 MAXIMUM RATINGS Collector - Emitter oltage Collector - Base oltage Rating

More information

Type Marking Pin Configuration Package BCP68-25 * 1=B 2=C 3=E 4=C - - SOT223

Type Marking Pin Configuration Package BCP68-25 * 1=B 2=C 3=E 4=C - - SOT223 BCP68 NPN Silicon AF Transistor For general AF applications High collector current High current gain 4 Low collectoremitter saturation voltage Complementary type: BCP69 (PNP) Pbfree (RoHS compliant) package

More information

DATA SHEET. BC368 NPN medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Dec 01.

DATA SHEET. BC368 NPN medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Dec 01. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D86 Supersedes data of 2003 Dec 0 2004 Nov 05 FEATURES High current. APPLICATIONS Linear voltage regulators Low side switch Supply line switch for negative

More information

Type Marking Pin Configuration Package SMBT2222A/MMBT2222A s1p 1 = B 2 = E 3 = C SOT23

Type Marking Pin Configuration Package SMBT2222A/MMBT2222A s1p 1 = B 2 = E 3 = C SOT23 SMBTA/MMBTA NPN Silicon Switching Transistor Low collectoremitter saturation voltage omplementary type: SMBT97A / MMBT97A (PNP) 1 Pbfree (RoHS compliant) package Qualified according AE Q1 Type Marking

More information

DATA SHEET. BC817DPN NPN/PNP general purpose transistor DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Aug 09.

DATA SHEET. BC817DPN NPN/PNP general purpose transistor DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Aug 09. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D302 NPN/PNP general purpose transistor Supersedes data of 2002 Aug 09 2002 Nov 22 FEATURES High current (500 ma) 600 mw total power dissipation Replaces

More information

Type Marking Pin Configuration Package BCX68-10 BCX68-16 BCX =B 1=B 1=B

Type Marking Pin Configuration Package BCX68-10 BCX68-16 BCX =B 1=B 1=B NPN Silicon AF Transistors For general AF applications High collector current High current gain Low collectoremitter saturation voltage Complementary type: BCX69 (PNP) Pbfree (RoHS compliant) package )

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

BC BC Pb-containing package may be available upon special request

BC BC Pb-containing package may be available upon special request BC846...BC8... NPN Silicon AF Transistors For AF input stages and driver applications High current gain Low collectoremitter saturation voltage Low noise between Hz and khz Complementary types: BC86...BC86...(PNP)

More information

DISCRETE SEMICONDUCTORS DATA SHEET. ok, halfpage M3D302. PMEM4020ND NPN transistor/schottky-diode module. Product data sheet 2003 Nov 10

DISCRETE SEMICONDUCTORS DATA SHEET. ok, halfpage M3D302. PMEM4020ND NPN transistor/schottky-diode module. Product data sheet 2003 Nov 10 DISCRETE SEMICONDUCTORS DATA SHEET ok, halfpage M3D302 NPN transistor/schottky-diode module 2003 Nov 0 FEATURES 600 mw total power dissipation High current capability Reduces required PCB area Reduced

More information

DATA SHEET. PMEM4010ND NPN transistor/schottky diode module DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Oct 28.

DATA SHEET. PMEM4010ND NPN transistor/schottky diode module DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Oct 28. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D302 NPN transistor/schottky diode module Supersedes data of 2002 Oct 28 2003 Jul 04 FEATURES 600 mw total power dissipation High current capability

More information

DATA SHEET. BFG31 PNP 5 GHz wideband transistor DISCRETE SEMICONDUCTORS Sep 12

DATA SHEET. BFG31 PNP 5 GHz wideband transistor DISCRETE SEMICONDUCTORS Sep 12 DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of November 199 File under Discrete Semiconductors, SC14 1995 Sep 1 FEATURES High output voltage capability High gain bandwidth product Good thermal stability

More information

200mW, PNP Small Signal Transistor

200mW, PNP Small Signal Transistor 200mW, PNP Small Signal Transistor FEATURES Epitaxial planar die construction Surface device type mounting Moisture sensitivity level 1 Matte Tin(Sn) lead finish with Nickel(Ni) underplate Pb free and

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

BCP51...-BCP53... Type Marking Pin Configuration Package BCP51 BCP51-16 BCP52-16 BCP53-10 BCP SOT223 SOT223 SOT223 SOT223 SOT223

BCP51...-BCP53... Type Marking Pin Configuration Package BCP51 BCP51-16 BCP52-16 BCP53-10 BCP SOT223 SOT223 SOT223 SOT223 SOT223 BCP...BCP... PNP Silicon AF Transistors For AF driver and output stages High collector current Low collectoremitter saturation voltage Complementary types: BCP4... BCP6 (NPN) Pbfree (RoHS compliant) package

More information

DATA SHEET. PEMD48; PUMD48 NPN/PNP resistor-equipped transistors; R1 = 47 kω, R2 = 47 kω and R1 = 2.2 kω, R2 = 47 kω DISCRETE SEMICONDUCTORS

DATA SHEET. PEMD48; PUMD48 NPN/PNP resistor-equipped transistors; R1 = 47 kω, R2 = 47 kω and R1 = 2.2 kω, R2 = 47 kω DISCRETE SEMICONDUCTORS DISCRETE SEMICONDUCTORS DATA SHEET NPN/PNP resistor-equipped transistors; R1 = 47 kω, R2 = 47 kω and R1 = 2.2 kω, R2 = 47 kω Supersedes data of 2004 Jun 02 2004 Jun 24 FEATURES Built-in bias resistors

More information

MP6901 MP6901. High Power Switching Applications. Hammer Drive, Pulse Motor Drive and Inductive Load Switching. Maximum Ratings (Ta = 25 C)

MP6901 MP6901. High Power Switching Applications. Hammer Drive, Pulse Motor Drive and Inductive Load Switching. Maximum Ratings (Ta = 25 C) TOSHIBA Power Transistor Module Silicon Epitaxial Type (Darlington power transistor in ) High Power Switching Applications. Hammer Drive, Pulse Motor Drive and Inductive Load Switching. Industrial Applications

More information

DATA SHEET. BFQ226 NPN video transistor DISCRETE SEMICONDUCTORS Sep 04

DATA SHEET. BFQ226 NPN video transistor DISCRETE SEMICONDUCTORS Sep 04 DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of 1996 July 18 File under Discrete Semiconductors, SC5 1996 Sep 4 APPLICATIONS Primarily intended for cascode output and buffer stages in high resolution

More information

BD245, BD245A, BD245B, BD245C NPN SILICON POWER TRANSISTORS

BD245, BD245A, BD245B, BD245C NPN SILICON POWER TRANSISTORS , A, B, C Designed for Complementary Use with the BD26 Series W at 25 C Case Temperature 0 A Continuous Collector Current 5 A Peak Collector Current Customer-Specified Selections Available B C E SOT-9

More information

BC856ALT1 Series. General Purpose Transistors. PNP Silicon. Pb Free Packages are Available. Features.

BC856ALT1 Series. General Purpose Transistors. PNP Silicon. Pb Free Packages are Available. Features. Preferred Devices General Purpose Transistors PNP Silicon Features PbFree Packages are Available COLLECTOR 1 BASE MAXIMUM RATINGS ( unless otherwise noted) Rating Symbol alue Unit 2 EMITTER Collector-Emitter

More information

BCM857BV; BCM857BS; BCM857DS

BCM857BV; BCM857BS; BCM857DS BCM857BV; BCM857BS; BCM857DS Rev. 05 27 June 2006 Product data sheet 1. Product profile 1.1 General description in small Surface-Mounted Device (SMD) plastic packages. The transistors are fully isolated

More information

DATA SHEET. PH2369 NPN switching transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr Oct 11.

DATA SHEET. PH2369 NPN switching transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 1999 Apr Oct 11. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D186 Supersedes data of 1999 Apr 27 2004 Oct 11 FEATURES Low current (max. 200 ma) Low voltage (max. 15 V). APPLICATIONS High-speed switching. PINNING

More information

DATA SHEET. PBSS5350D 50 V low V CEsat PNP transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2001 Jul Nov 13.

DATA SHEET. PBSS5350D 50 V low V CEsat PNP transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2001 Jul Nov 13. DISCRETE SEMICONDUCTORS DATA SHEET age M3D302 PBSS5350D 50 V low V CEsat PNP transistor Supersedes data of 2001 Jul 13 2001 Nov 13 FEATURES Low collector-emitter saturation voltage High current capability

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 217 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 217 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

BC807-16W/-25W/-40W Taiwan Semiconductor

BC807-16W/-25W/-40W Taiwan Semiconductor 200mW, PNP Small Signal Transistor FEATURES Epitaxial planar die construction Surface mount device type Moisture sensitivity level Matte Tin(Sn) lead finish with Nickel(Ni) underplate Pb free and RoHS

More information

2SC3457. isc Silicon NPN Power Transistor. isc Product Specification. INCHANGE Semiconductor. isc Website:

2SC3457. isc Silicon NPN Power Transistor. isc Product Specification. INCHANGE Semiconductor. isc Website: INCHANGE Semiconductor isc Silicon NPN Power Transistor isc Product Specification DESCRIPTION High Breakdown oltage- : (BR)CBO = 1100(Min) Fast Switching Speed Wide Area of Safe Operation APPLICATIONS

More information

B 1 E 1. C 1 Internal Schematic (TOP VIEW) E 1, B 1, C 1 = PNP3906 Section E 2, B 2, C 2 = NPN3904 Section

B 1 E 1. C 1 Internal Schematic (TOP VIEW) E 1, B 1, C 1 = PNP3906 Section E 2, B 2, C 2 = NPN3904 Section 4 COMPLEMENTRY NPN / PNP SURFCE MOUNT TRNSISTORS Features Complementary Pair: One 3904 (NPN) and One 3906 (PNP) Epitaxial Planar Die Construction Ideally Suited for utomated ssembly Processes Lead Free

More information

HAL501...HAL506, HAL508 Hall Effect Sensor ICs MICRONAS INTERMETALL MICRONAS. Edition May 5, DS

HAL501...HAL506, HAL508 Hall Effect Sensor ICs MICRONAS INTERMETALL MICRONAS. Edition May 5, DS MICRONAS INTERMETALL HAL1...HAL, HAL Hall Effect Sensor ICs Edition May, 1997 1--1DS MICRONAS HAL1...HAL HAL Hall Effect Sensor IC in CMOS technology Common Features: switching offset compensation at khz

More information

Silicon Diffused Power Transistor

Silicon Diffused Power Transistor GENERAL DESCRIPTION High voltage, high-speed switching npn transistors in a fully isolated SOT99 envelope, primarily for use in horizontal deflection circuits of colour television receivers. QUICK REFERENCE

More information

BCR191.../SEMB1 BCR191/F/L3 BCR191T/W BCR191S SEMB1. Type Marking Pin Configuration Package BCR191 BCR191F BCR191L3 2=E 2=E 2=E =C 3=C 3=C

BCR191.../SEMB1 BCR191/F/L3 BCR191T/W BCR191S SEMB1. Type Marking Pin Configuration Package BCR191 BCR191F BCR191L3 2=E 2=E 2=E =C 3=C 3=C PNP Silicon Digital Transistor Switching circuit, inverter, interface circuit, driver circuit Built in bias resistor (R = kω, R = kω ) For 6PIN packages: two (galvanic) internal isolated transistors with

More information

BC847BPDXV6T5G. SBC847BPDXV6 NPN/PNP Dual General Purpose Transistor

BC847BPDXV6T5G. SBC847BPDXV6 NPN/PNP Dual General Purpose Transistor BC847BPDX6, SBC847BPDX6 NPN/PNP Dual General Purpose Transistor This transistor is designed for general purpose amplifier applications. It is housed in the SOT563 which is designed for low power surface

More information

C1 B2 E2 TR2 TR1 EHA Type Marking Pin Configuration Package BC817UPN 1Bs 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SC74

C1 B2 E2 TR2 TR1 EHA Type Marking Pin Configuration Package BC817UPN 1Bs 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SC74 BC87UPN NPN Silicon AF Transistor Array For AF stages and driver applications High current gain Low collectoremitter saturation voltage 4 6 Two (galvanic) internal isolated NPN/PNP transistors in one package

More information

DATA SHEET. PBSS4540Z 40 V low V CEsat NPN transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2001 Jul Nov 14.

DATA SHEET. PBSS4540Z 40 V low V CEsat NPN transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2001 Jul Nov 14. DISCRETE SEMICONDUCTORS DATA SHEET age M3D087 PBSS4540Z 40 V low V CEsat NPN transistor Supersedes data of 2001 Jul 24 2001 Nov 14 FEATURES Low collector-emitter saturation voltage High current capabilities

More information

CA3086. General Purpose NPN Transistor Array. Applications. Pinout. Ordering Information. Data Sheet August 2003 FN483.5

CA3086. General Purpose NPN Transistor Array. Applications. Pinout. Ordering Information. Data Sheet August 2003 FN483.5 Data Sheet August FN8. General Purpose NPN Transistor Array The consists of five general-purpose silicon NPN transistors on a common monolithic substrate. Two of the transistors are internally connected

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

DATA SHEET. BCP69 PNP medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2002 Nov 15.

DATA SHEET. BCP69 PNP medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2002 Nov 15. DISCRETE SEMICONDUCTORS DATA SHEET dbook, halfpage M3D087 Supersedes data of 2002 Nov 15 2003 Nov 25 FEATURES High current Three current gain selections 1.4 W total power dissipation. APPLICATIONS Linear

More information

DATA SHEET. BFQ225 NPN video transistor DISCRETE SEMICONDUCTORS Sep 04

DATA SHEET. BFQ225 NPN video transistor DISCRETE SEMICONDUCTORS Sep 04 DISCRETE SEMICONDUCTORS DATA SHEET Supersedes data of 1996 July 18 File under Discrete Semiconductors, SC5 1996 Sep 4 APPLICATIONS Primarily intended for cascode output and buffer stages in high resolution

More information

DATA SHEET. BC369 PNP medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Nov 20.

DATA SHEET. BC369 PNP medium power transistor; 20 V, 1 A DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Nov 20. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D186 Supersedes data of 23 Nov 2 24 Nov 5 FEATURES High current Two current gain selections. APPLICATIONS Linear voltage regulators High side switches

More information

DATA SHEET. PBSS4480X 80 V, 4 A NPN low V CEsat (BISS) transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2004 Aug 5

DATA SHEET. PBSS4480X 80 V, 4 A NPN low V CEsat (BISS) transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2004 Aug 5 DISCRETE SEMICONDUCTORS DATA SHEET ook, halfpage M3D09 Supersedes data of 2004 Aug 5 2004 Oct 25 FEATURES High h FE and low V CEsat at high current operation High collector current capability: I C maximum

More information

2SC3074 2SC3074. High Current Switching Applications. Maximum Ratings (Ta = 25 C)

2SC3074 2SC3074. High Current Switching Applications. Maximum Ratings (Ta = 25 C) SC7 TOSHIBA Transistor Silicon NPN Epitaxial Type (PCT process) SC7 High Current Switching Applications Unit: mm Low collector saturation voltage: VCE (sat) =. V (max) (IC = A) High speed switching time:

More information

DATA SHEET. PBSS4250X 50 V, 2 A NPN low V CEsat (BISS) transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Jun 17

DATA SHEET. PBSS4250X 50 V, 2 A NPN low V CEsat (BISS) transistor DISCRETE SEMICONDUCTORS. Product specification Supersedes data of 2003 Jun 17 DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D9 Supersedes data of 2003 Jun 17 2004 Nov 08 FEATURES SOT89 (SC-62) package Low collector-emitter saturation voltage V CEsat High collector current

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

BC487, BC487B. High Current Transistors. NPN Silicon. Pb Free Packages are Available* Features. MAXIMUM RATINGS MARKING DIAGRAM

BC487, BC487B. High Current Transistors. NPN Silicon. Pb Free Packages are Available* Features.   MAXIMUM RATINGS MARKING DIAGRAM High Current Transistors NPN Silicon Features PbFree Packages are Available* COLLECTOR 1 MAXIMUM RATINGS Rating Symbol alue Unit Collector Emitter oltage CEO 60 dc Collector Base oltage CBO 60 dc Emitter

More information

BC846, BC847, BC848 Series. General Purpose Transistors. NPN Silicon

BC846, BC847, BC848 Series. General Purpose Transistors. NPN Silicon BC846, BC847, BC848 Series General Purpose Transistors NPN Silicon These transistors are designed for general purpose amplifier applications. They are housed in the SC7/SOT2 which is designed for low power

More information

Type Marking Pin Configuration Package BCM856S 3Ms 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SOT363

Type Marking Pin Configuration Package BCM856S 3Ms 1=E1 2=B1 3=C2 4=E2 5=B2 6=C1 SOT363 BM86S PNP Silicon AF Transistor Array Precision matched transistor pair: I % For current mirror applications Low collectoremitter saturation voltage Two (galvanic) internal isolated Transistors omplementary

More information

2N3903, 2N3904. NPN Silicon. Pb Free Packages are Available* Features. MAXIMUM RATINGS. THERMAL CHARACTERISTICS (Note 1)

2N3903, 2N3904. NPN Silicon. Pb Free Packages are Available* Features.   MAXIMUM RATINGS. THERMAL CHARACTERISTICS (Note 1) N393, N393 is a Preferred Device General Purpose Transistors NPN Silicon Features PbFree Packages are Available* MAXIMUM RATINGS Rating Symbol Value Unit Collector Emitter Voltage V CEO 4 Vdc Collector

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) N-CHANNEL 3A - 600 D 2 PAK Power MESH IGBT TYPE CES CE(sat) I c STGB3NB60SD 600

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

2N2904A-2N2905A 2N2906A-2N2907A

2N2904A-2N2905A 2N2906A-2N2907A 2N2904A-2N2905A 2N2906A-2N2907A GENERAL PURPOSE AMPLIFIERS AND SITCHES DESCRIPTION The 2N2904A, 2N2905A, 2N2906A and 2N2907A are silicon planar epitaxial PNP transistors in Jedec TO-39 (for 2N2904A and

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BFQ19 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14

DISCRETE SEMICONDUCTORS DATA SHEET. BFQ19 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14 DISCRETE SEMICONDUCTORS DATA SHEET File under Discrete Semiconductors, SC14 September 1995 DESCRIPTION PINNING NPN transistor in a SOT89 plastic envelope intended for application in thick and thin-film

More information

BC846ALT1 Series. General Purpose Transistors. NPN Silicon

BC846ALT1 Series. General Purpose Transistors. NPN Silicon BC846, BC847 and BC848 are Preferred Devices General Purpose Transistors NPN Silicon Features Moisture Sensitivity Level: 1 ESD Rating Human Body Model: >4000 ESD Rating Machine Model: >400 PbFree Packages

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

Type Marking Pin Configuration Package SMBT2907A/MMBT2907A s2f 1 = B 2 = E 3 = C SOT23

Type Marking Pin Configuration Package SMBT2907A/MMBT2907A s2f 1 = B 2 = E 3 = C SOT23 PNP Silicon Switching Transistor Low collectoremitter saturation voltage omplementary type: SMBTA / MMBTA (NPN) 1 Pbfree (RoHS compliant) package 1) Qualified according AE Q1 Type Marking Pin onfiguration

More information

2N5401. PNP Silicon. These are Pb Free Devices* Features. MAXIMUM RATINGS THERMAL CHARACTERISTICS MARKING DIAGRAM

2N5401. PNP Silicon. These are Pb Free Devices* Features.   MAXIMUM RATINGS THERMAL CHARACTERISTICS MARKING DIAGRAM Preferred Device Amplifier Transistors PNP Silicon Features These are PbFree Devices* MAXIMUM RATINGS Rating Symbol alue Unit Collector Emitter oltage CEO 1 Collector Base oltage CBO 16 Emitter Base oltage

More information

2N4123, 2N4124. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available* Features MAXIMUM RATINGS

2N4123, 2N4124. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available*  Features MAXIMUM RATINGS N413, General Purpose Transistors NPN Silicon Features PbFree Packages are Available* MAXIMUM RATINGS CollectorEmitter Voltage CollectorBase Voltage Rating Symbol Value Unit N413 N413 V CEO 5 V CBO 4 EmitterBase

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BLU86 UHF power transistor

DISCRETE SEMICONDUCTORS DATA SHEET. BLU86 UHF power transistor DISCRETE SEMICONDUCTORS DATA SHEET September 1991 FEATURES SMD encapsulation Emitter-ballasting resistors for optimum temperature profile Gold metallization ensures excellent reliability. DESCRIPTION NPN

More information

PN2222, PN2222A. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available* Features MAXIMUM RATINGS MARKING DIAGRAM

PN2222, PN2222A. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available*   Features MAXIMUM RATINGS MARKING DIAGRAM , is a Preferred Device General Purpose Transistors NPN Silicon Features PbFree Packages are Available* COLLECTOR 3 MAXIMUM RATINGS Collector-Emitter Voltage Collector-Base Voltage Rating Symbol Value

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BFR106 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14

DISCRETE SEMICONDUCTORS DATA SHEET. BFR106 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14 DISCRETE SEMICONDUCTORS DATA SHEET File under Discrete Semiconductors, SC14 September 1995 DESCRIPTION PINNING NPN silicon planar epitaxial transistor in a plastic SOT3 envelope. It is primarily intended

More information

absolute maximum ratings at 25 C case temperature (unless otherwise noted)

absolute maximum ratings at 25 C case temperature (unless otherwise noted) ,, B, C, D Designed for Complementary Use with BDW84, BDW84A, BDW84B, BDW84C and BDW84D W at C Case Temperature A Continuous Collector Current Minimum h FE of 70 at 3, 6 A B C E SOT-93 PACKAGE (TOP IEW)

More information

ESD (Electrostatic discharge) sensitive device, observe handling precaution!

ESD (Electrostatic discharge) sensitive device, observe handling precaution! NPN Silicon RF Transistor For broadband amplifiers up to GHz at collector currents from ma to ma Pbfree (RoHS compliant) package ) Qualified according AEC Q ESD (Electrostatic discharge) sensitive device,

More information

BC846AW - BC850CW Taiwan Semiconductor. NPN Transistor. Small Signal Product SOT-323 FEATURES MECHANICAL DATA

BC846AW - BC850CW Taiwan Semiconductor. NPN Transistor. Small Signal Product SOT-323 FEATURES MECHANICAL DATA NPN Transistor FEATURES - Low reverse current, high reliability - Surface device type mounting - Moisture sensitivity level - Matte Tin(Sn) lead finish with Nickel(Ni) underplate - Pb free version and

More information

Type Marking Pin Configuration Package BFR93A R2s 1=B 2=E 3=C SOT23

Type Marking Pin Configuration Package BFR93A R2s 1=B 2=E 3=C SOT23 NPN Silicon RF Transistor For lownoise, high gain broadband amplifiers at collector currents from ma to 0 ma Pbfree (RoHS compliant) package ESD (Electrostatic discharge) sensitive device, observe handling

More information

MMBT3906L, SMMBT3906L. General Purpose Transistor. PNP Silicon

MMBT3906L, SMMBT3906L. General Purpose Transistor. PNP Silicon General Purpose Transistor PNP Silicon Features S Prefix for Automotive and Other Applications Requiring Unique Site and Control Change Requirements; AECQ Qualified and PPAP Capable These Devices are PbFree,

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 207 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

2N4401. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available* Features. MAXIMUM RATINGS MARKING DIAGRAM

2N4401. General Purpose Transistors. NPN Silicon. Pb Free Packages are Available* Features.  MAXIMUM RATINGS MARKING DIAGRAM Preferred Device General Purpose Transistors NPN Silicon Features PbFree Packages are Available* COLLECTOR 3 MAXIMUM RATINGS Rating Symbol Value Unit Collector Emitter Voltage V CEO 4 Vdc Collector Base

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information