Uniform and ordered self-assembled Ge dots on patterned Si substrates with selectively epitaxial growth technique

Size: px
Start display at page:

Download "Uniform and ordered self-assembled Ge dots on patterned Si substrates with selectively epitaxial growth technique"

Transcription

1 Journal of Crystal Growth (2001) Uniform and ordered self-assembled Ge dots on patterned Si substrates with selectively epitaxial growth technique G. Jin*, J. Wan, Y.H. Luo, J.L. Liu, K.L. Wang Device Research Laboratory, Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA , USA Abstract In this work, we report the controlled growth of one-dimensional (1D) and two-dimensional uniform, well-arranged self-assembled Ge dots grown on patterned Si (0 0 1) substrates. Selectively epitaxial growth (SEG) of Si mesas was first performed in an MBE system equipped with a gas source of Si 2 H 6 and a Knudsen cell of Ge. Self-assembled Ge dots on one-dimensional Si SEG stripe mesas demonstrate the periodic arrangement with uniform size, which is different from the bi-modal distribution as normally seen. This cooperative arrangement is attributed to the nature of self-regulation of the self-assembled system with the assistance of the spatial confinement. A systematic study of the one-dimensional arrangement will be discussed. The atomic force microscopic results of two-dimensional arrays show that there are several kinds of the arrangement configurations, indicating the possibility of the controlling the placement of selfassembled Ge dots. Finally, we will discuss the mechanisms of the cooperative arrangements and the possibility to control freely spontaneous growth of Ge dots on pre-grown Si mesas. # 2001 Published by Elsevier Science B.V. PACS: Hi; g; Jk; Ux Keywords: A1. Nanostructures; A3. Selective epitaxy; B1. Nanomaterials 1. Introduction Self-assembly of heterostructure growth has attracted a great interest in nanostructure studies since it offers a low-cost nanofabrication technique. In addition, there are a great number of potential applications [1,2], such as quantum dot based laser, single electron transistor and quantum computer. Uniform dots with ordered arrangement are desirable for further pragmatic applications. However, there are two main problems. *Corresponding author. Tel.: ; fax: address: gjin@ee.ucla.edu (G. Jin). First, previous studies [3 6] showed that selfassembled Ge dots on Si (0 0 1) had a bi- (or even multi-) modal size distribution, which was an obstacle for accomplishing uniform dots. A few studies on the formation of uniform dots have been carried out [7]. Secondly, the self-assembled Ge dots usually have a random spatial distribution due to the spontaneous nature of self-assembled growth. In order to control spatial distribution and form ordered quantum dot arrays, many efforts have been devoted using a variety of techniques, such as growth on miscut substrates with surface steps [8,9] and on relaxed templates with dislocation networks [10 12] and stacking growth of multi-layers of Ge dots [13 15] and /01/$ - see front matter # 2001 Published by Elsevier Science B.V. PII: S (01)

2 G. Jin et al. / Journal of Crystal Growth (2001) others [16]. Among them, one of the efficient approaches to control the spatial distribution is using the post-growth of Ge dots on Si SEG mesas. Kamins study [17] showed 1D ordered arrangement of Ge dots along the edges of the Si stripe mesas, formed in patterned windows prepared by conventional lithography. However, it is far away from the true control of the dot positioning for practical applications. In this paper, we will report our successful control of one- and two-dimensional uniform, well-arranged self-assembled Ge dots grown on patterned Si (0 0 1) substrates with selective epitaxial growth technique. In addition, we will also demonstrate the control of a single self-assembled Ge dot on each square Si mesa and discuss possible mechanisms associated with the cooperative arrangements. 2. Experimental methods The samples used in this study were patterned Si (0 0 1) substrates, which were first thermally oxidized to form about 400-nm-thick SiO 2, and then Si windows were opened to form patterned substrates with their edges aligned along h110i directions by using conventional photolithography. For sample growth, the Si (0 0 1) substrates were chemically cleaned and dipped in a diluted HF solution to form the hydrogen-terminated surface before being loaded into a vacuum chamber. The growths were carried out in a molecular beam epitaxy system with a Si 2 H 6 gas source and a Ge Knudsen cell source. The base pressure of the growth chamber was about Torr and the main residual gas was hydrogen. After thermal cleaning, Si was selectively grown in the exposed Si windows at 6608C with the Si 2 H 6 gas flow rate of 3.0 sccm, resulting in the formation of Si mesas with facets. The Si growth rate under these conditions was about 0.1 nm/s. Details on the facet formation in the selective epitaxial growth (SEG) process can be found in the previous publications [18,19]. After the Si growth, Ge was deposited at a growth temperature with a typical growth rate of about 0.01 nm/s. The samples were taken from the vacuum and the silicon oxide was etched away for atomic force microscopic (AFM) study. The morphology of Ge dots did not show any significant change with the etching process. All the AFM scanning was parallel to the cleaved edge of substrates, the [1 1 0] direction, in a contact mode. 3. Results and discussion Fig. 1 shows a typical AFM result of Si selective growth in a patterned stripe window. It is noted that the silicon dioxide layer has been removed for the AFM measurement. One can see the morphology of the Si mesa formed by SEG process. The sidewall facet angle is about 258 with the thickness of 120 nm, corresponding to the {1 1 3} facets. This facet formation is due to the anisotropy of the growth rate in the SEG process. The sidewall facets are formed on patterned Si (0 0 1) and evolved from the dominance of the {1 1 3} facets at the early stage of the Si selective growth to the dominance of the {1 1 1} facets at larger Si thickness. In this particular case, the {1 1 3}- sidewall facets dominate the mesa sides at the Si thickness of about 120 nm. It was also found that Fig. 1. Typical AFM image of a Si stripe mesa after selective epitaxial growth on a patterned Si (0 0 1) substrate with the window oriented along the h110i directions. The 1D ridge is formed after full reduction of the topplateau. The height of the mesa is about 120 nm and the sidewall facet is {1 1 3}.

3 1102 G. Jin et al. / Journal of Crystal Growth (2001) the top(0 0 1) surface had been fully reduced to form a ridge in the exposed Si windows having a base width of 40.5 mm. Fig. 2 illustrates an AFM image of 1D cooperative arrangement of self-assembled Ge dots on an h110i-oriented Si stripe mesa, selectively formed in an exposed Si stripe window with a window width of 0.55 mm and a pitch of 0.1 mm. Perfectly aligned and cooperatively arranged 1D array of Ge dots can be seen on the ridge of the Si stripe mesa after the deposition of 1.6 nm Ge at 6008C. The average height and base size of the dots are about 24 and 95 nm, respectively. Moreover, the cooperatively arranged array shows excellent periodicity with a constant period of about 110 nm. The perfect alignment of the dots on the Si stripe mesa arises from the energetically preferential nucleation on top of the 1D ridge and is also assisted by the formation of the 1D ridge during SEG process [20]. The periodic arrangement is attributed to the minimization of the total energy [20 22]. We have carefully examined the Ge dots on the ridges of Si stripe mesas over a large region. It is interesting to find that all the dots are domeshaped and have a close size of nm. A similar result was reported on high index facets of SEG mesas [23], in contrast with the results usually obtained on bare Si (0 0 1) substrates, where a bior multi-modal distribution of the Ge dots was evident. The mono-modal distribution of Ge dots in our case may be attributed to the preferential nucleation and the elastic interaction [21,22,24] between the neighboring dots. The influence of the amount of Ge deposited on the cooperative arrangement has been studied. At the low Ge deposition of 0.4 nm, very few Ge dots were seen and the growth is believed to be in the layer-by-layer mode. As the deposition was increased to 0.8 nm, pronounced Ge dots were observed, indicating a 3D growth mode. Therefore, for Ge growth on Si stripe mesas, the growth was in the Stranski Krastanov growth mode. We estimated the critical thickness to be nm for Ge growth on Si stripe mesas, which is consistent with the value of 3 ML on a planar Si (0 0 1) surface. Fig. 3 shows the statistically Fig. 2. Two-dimensional (top) and three-dimensional (bottom) AFM images of self-assembled Ge dots on an h110i-oriented Si stripe mesa with a base width of 0.55 mm and the length on the order of 1 mm. One-dimensional cooperative arrangement of Ge dots is formed on the ridge of the Si mesa after the deposition of 1.6 nm Ge at a growth temperature of 6008C. The sidewall facets of the mesa are {1 1 3}. The base size (along the [1 1 0] ridge direction) and the height of the Ge dots are about 95 and 24 nm, respectively. Fig. 3. The statistical results of dot spacing R versus the amount of deposited Ge or equivalent Ge thickness. The error bars are the standard deviation values. The growth is in a 2D (layer-by-layer) mode as the equivalent thickness is less than 0.5 nm, which becomes the 3D (islanding) mode as the thickness is larger than 0.5 nm.

4 G. Jin et al. / Journal of Crystal Growth (2001) Fig. 4. One-dimensional fast Fourier transforms (FFT) of the corresponding AFM images, showing the spatial periodicity and also showing the peak shift with the Ge thickness. average results of dot spacing R versus the amount of deposited Ge. Meanwhile, we studied the onedimensional fast Fourier transform (FFT) of the AFM images, showing the decrease of the dot spacing with Ge amount in Fig. 4. The dot spacing reduces as the equivalent thickness y of deposited Ge increases. Moreover, the dot base size becomes smaller with the increase of the Ge amount at large Ge thickness. However, the dot height increases slightly. Therefore, we examined the dot volumes and found that the volume decreases with the Ge amount [24]. In general, in a non-correlated growth, the deposited amount increases, the dot size becomes larger, or the dot density increases while the size remains constant. In our case, however, the dots are correlated and the volume changes with the amount of deposited Ge. The possible evolution of the dots with Ge thickness is described as follows. Before the formation of Ge dots, Ge growth on Si stripe mesas occurs in a layer-by-layer mode to form a wetting layer. After the onset of 3D growth, Ge dots are formed. At the earlier stage of the formation of Ge dots, the nucleation is rather random and the interactions between dots are very weak due to the very low dot density. Once a Ge dot is formed, the dot grows fast and saturates at a certain size (about 200 nm in the base at the growth temperature of 6008C). The size saturation may arise from a kinetically self-limited mechanism; for example, large strain suppresses the further increase of the dot size [25]. In our case, the 1D stripe mesas may also suppress the growth of the dots in the direction cross the mesas. The morphology of dots tends to be symmetric, however, the growth across the ridge is suppressed due to the morphologic anisotropy. This may lead to the suppression of the pyramid formation in 1D case. Meanwhile, the Ge dots are metastable and the interchange of Ge atoms between the neighboring dots takes place via diffusion, attachment and detachment processes. Further deposition increases additional nucleation sites and the dot density, then the interactions [20 22] between dots become stronger. Contrast to the Ostwald ripening process, the new dots grow at the expense of wellgrown dots according to the energetic preference, leading to the size decrease with the increase of Ge thickness. This self-regulation of the dot size and position may be driven by the minimization of the total energy, promoting this cooperative arrangement on the 1D ridges. Fig. 5 shows an AFM image of 2D arrangement of the self-assembled Ge dots on the SEG Si mesas. The bright regions are Si mesa network, which were formed after the selective epitaxial growth. The dark regions correspond to the original SiO 2 mask regions, which have been removed for AFM inspection. It is interesting to note that the original Si windows are oriented in [1 1 0] direction, but the baselines of the sidewalls of the Si mesas after SEG process are oriented in [1 0 0] direction rather than in [1 1 0] directions. The change of the baseline orientation may be attributed to the result of the competitive growth on different facets [18,19]. A 0.8-nm-thick Ge was deposited at a temperature of 6008C, resulting in pyramid-shaped Ge dots with an average height of 12 nm (Fig. 5a). It is interesting to note that there are four Ge dots on each unit cell of the Si mesas and the arrangement of Ge dots shows ordered 2D

5 1104 G. Jin et al. / Journal of Crystal Growth (2001) Fig. 5. AFM images with a scanning area of 4 mm 4 mm, showing the dependence of the 2D arrangement of Ge dots on Ge thickness. Several configurations of arrangement can be seen with different Ge thickness. The Ge thickness deposited is equivalent to (a) 0.8 nm and (b) 1.3 nm. arrays. The four Ge dots are located at the corners of each Si mesa and the central region is free of Ge dots. Upon careful examination, we see that the base squares of the dots are oriented in h100i directions. The formation of Ge dots at the corners is due to the energetically preferential nucleation [26], similar to that along the edges. The growth of Ge on Si SEG square mesas is also in Stranski Krastanov growth mode, and the critical thickness is estimated to be about nm on Si SEG mesas. With the increase of the Ge deposition thickness, several configurations of arrangement can be seen [26]. Fig. 5b shows two sets of Ge dots, domes at the corners and pyramids at the edges of a unit cell. The first set of dots at the corners form at the initial stage of the growth due to the energetic preference, and then the dots grow from the pyramid to the dome shape. Due to the increase of strain energy at the corners, the dots at edges appear. The second set of Ge dots observed are square-based pyramids, different from the dome dots at the corners, as they are still at the early stage of their evolution, i.e. that they have not undergone the shape transformation. In order to understand the effect of temperature on 2D arrangement of Ge dots, we investigated the dependence of 2D arrangement on growth temperature. Fig. 6 shows the AFM image of the sample with the growth temperatures of 7008C and 1.6 nm Ge. One can see that only one dot on each Si mesa is formed on each Si mesa at 7008C. The formation of single dot on a unit square Si mesa is attributed to the reduction of the top(0 0 1) facet and the larger Ge dots. During the Si SEG process, the top(0 0 1) facet of Si mesas becomes smaller due to the stronger mass transfer from the sidewalls of Si mesas, leading to the increase of the height and the reduction of the mesa tops (0 0 1) (to 0.3 mm 0.3 mm vs. original 0.8 mm 0.8 mm). At 7008C, the Ge dots are larger compared with those at 6008C [27]. Therefore, only one dot can be formed on a square mesa. This result shows the possibility of controlling the positioning of a single self-assembled Ge dot on Si SEG mesas. Other variations of patterns of dots are possible.

6 G. Jin et al. / Journal of Crystal Growth (2001) References Fig. 6. AFM image of 2D arrangement of Ge dots with 1.6 nm Ge at growth temperature of 7008C. Only one Ge dot is placed on each unit of Si mesas. The scanning area is 10 mm 10 mm. The inset shows the 2D array of Ge dots by adjusting the height bar in AFM image for only showing the Ge dots. Before the Ge deposition, the mesa size is 0.8 mm 0.8 mm, and the size shrinks to 0.3 mm 0.3 mm after Ge deposition. 4. Conclusions In summary, we have demonstrated and studied the 1D and 2D cooperative arrangements of selfassembled Ge dots (CASAD) on patterned Si substrates with selective epitaxial growth Si mesas. The growth of Ge on Si SEG ridges and square mesas (with the lateral size less than 0.5 mm) occurs in the Stranski Krastanov growth mode. The control of single self-assembled Ge dot on a Si SEG mesa has been demonstrated. This promising growth technique can be extended to other heterogeneous system. This work illustrates the possibilities of constructing controlled dot patterns beyond today s lithographic size limit. This technique may find important applications for new multi-functional devices. Acknowledgements This work was in part supported by Semiconductor Research Corporation (SRC) and Army Research Office (ARO). [1] P.M. Tersoff, G. Mederios-Riberio, Mater. Res. Bull. 21 (1996) 50, and references therein. [2] A. Balandin, K.L. Wang, Superlatt. Microstruct. 25 (1999) 509, and references therein. [3] D.J. Eaglesham, M. Cerullo, Phys. Rev. Lett. 64 (1990) [4] Y.W. Mo, D.E. Savage, B.S. Swartzentruber, M.G. Lagally, Phys. Rev. Lett. 65 (1990) [5] T.I. Kamins, E.C. Carr, R.S. Williams, S.J. Rosner, J. Appl. Phys. 81 (1997) 211. [6] F.M. Ross, R.M. Tromp, M.C. Reuter, Science 286 (1999) [7] X. Wang, Z.-M. Jiang, H.-J. Zhu, F. Lu, D. Huang, X. Liu, C.-W. Hu, Y. Chen, Z. Zhu, T. Yao, Appl. Phys. Lett. 71 (1997) [8] K. Sakamoto, H. Matsuhata, M.O. Tanner, D. Wang, K.L. Wang, Thin Solid Films 321 (1998) 55. [9] J.-H. Zhu, K. Brunner, G. Abstreiter, Appl. Phys. Lett. 73 (1998) 620. [10] Y.H. Xie, S.B. Samavedam, M. Bulsara, T.A. Langdo, E.A. Fitzgerald, Appl. Phys. Lett. 71 (1997) [11] S.Y. Shiryaev, V.E. Pedersen, F. Jensen, W.J. Petersen, L.J. Hansen, N.A. Larsen, Thin Solid Films 294 (1997) 311. [12] C. Lee, A.-L. Barabasi, Appl. Phys. Lett. 73 (1998) [13] C. Teichert, M.G. Lagally, L.J. Peticolas, J.C. Bean, Tersoff, J. Phys. Rev. B 53 (1996) [14] E. Mateeva, P. Sutter, J.C. Bean, M.G. Lagally, Appl. Phys. Lett. 71 (1997) [15] O.G. Schmidt, K. Eberl, Phys. Rev. B 61 (2000) [16] E.S. Kim, N. Usami, Y. Shiraki, Appl. Phys. Lett. 72 (1998) [17] T.I. Kamins, R.S. Williams, Appl. Phys. Lett. 71 (1997) [18] A. Madhukar, Thin Solid Films 231 (1993) 8. [19] Q. Xiang, S. Li, D. Wang, K.L. Wang, J.G. Couillard, H.G. Craighead, J. Vac. Sci. Technol. B 14 (1996) [20] G. Jin, J.L. Liu, S.G. Thomas, Y.H. Luo, K.L. Wang, B.- Y. Nguyen, Appl. Phys. Lett. 75 (1999) [21] M. Zinke-Allmang, L.C. Feldman, M.H. Grabow, Surf. Sci. Rep. 16 (1992) 377. [22] V.A. Shchukin, N.N. Ledentsov, P.S. Kopev, D. Bimberg, Phys. Rev. Lett. 75 (1995) [23] L. Vascan, Phantom Newslett. 16 (1999) 1. [24] G. Jin, K.L. Wang, Phys. Rev. Lett., submitted for publication. [25] Y. Chen, J. Washburn, Phys. Rev. Lett. 77 (1996) [26] G. Jin, J.L. Liu, K.L. Wang, Appl. Phys. Lett. 76 (2000) [27] S.A. Chaparro, Y. Zhang, J. Drucker, D. Chandrasekhar, D.J.J. Smith, Appl. Phys. 87 (2000) 2245.

Precise control of size and density of self-assembled Ge dot on Si(1 0 0) by carbon-induced strain-engineering

Precise control of size and density of self-assembled Ge dot on Si(1 0 0) by carbon-induced strain-engineering Applied Surface Science 216 (2003) 419 423 Precise control of size and density of self-assembled Ge dot on Si(1 0 0) by carbon-induced strain-engineering Y. Wakayama a,*, L.V. Sokolov b, N. Zakharov c,

More information

Nanoscale Structuring by Misfit Dislocations in Si1-xGex/Si Epitaxial Systems

Nanoscale Structuring by Misfit Dislocations in Si1-xGex/Si Epitaxial Systems Downloaded from orbit.dtu.dk on: Dec 17, 2017 Nanoscale Structuring by Misfit Dislocations in Si1-xGex/Si Epitaxial Systems Shiryaev, S.Y.; Jensen, Flemming; Hansen, J. Lundsgaard; Petersen, Jon Wulff;

More information

On the correlation between the self-organized island pattern and substrate elastic anisotropy

On the correlation between the self-organized island pattern and substrate elastic anisotropy JOURNAL OF APPLIED PHYSICS 100, 013527 2006 On the correlation between the self-organized island pattern and substrate elastic anisotropy E. Pan a and R. Zhu Department of Civil Engineering, University

More information

Ordering of Nanostructures in a Si/Ge 0.3 Si 0.7 /Ge System during Molecular Beam Epitaxy

Ordering of Nanostructures in a Si/Ge 0.3 Si 0.7 /Ge System during Molecular Beam Epitaxy Semiconductors, Vol. 36, No. 11, 22, pp. 1294 1298. Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 36, No. 11, 22, pp. 1379 1383. Original Russian Text Copyright 22 by Cirlin, Egorov, Sokolov,

More information

Self-Assembled InAs Quantum Dots on Patterned InP Substrates

Self-Assembled InAs Quantum Dots on Patterned InP Substrates Self-Assembled InAs Quantum Dots on Patterned InP Substrates J. Lefebvre, P.J. Poole, J. Fraser, G.C. Aers, D. Chithrani, and R.L. Williams Institute for Microstructural Sciences, National Research Council

More information

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Kentaro Sasaki, Keiji Ueno and Atsushi Koma Department of Chemistry, The University of Tokyo,

More information

Luminescence of laterally ordered Ge islands along Š100 directions

Luminescence of laterally ordered Ge islands along Š100 directions JOURNAL OF APPLIED PHYSICS VOLUME 91, NUMBER 12 15 JUNE 2002 Luminescence of laterally ordered Ge islands along Š100 directions L. Vescan a) and T. Stoica Institut für Schichten und Grenzflächen (ISG),

More information

Chapter 3. Step Structures and Epitaxy on Semiconductor Surfaces

Chapter 3. Step Structures and Epitaxy on Semiconductor Surfaces and Epitaxy on Semiconductor Surfaces Academic and Research Staff Professor Simon G.J. Mochrie, Dr. Ophelia Tsui Graduate Students Seugheon Song, Mirang Yoon 3.1 Introduction Sponsors Joint Services Electronics

More information

Ripening of self-organized InAs quantum dots

Ripening of self-organized InAs quantum dots Available online at www.sciencedirect.com Physica E 21 (2004) 606 610 www.elsevier.com/locate/physe Ripening of self-organized InAs quantum dots K. Potschke a;,l.muller-kirsch a, R. Heitz a;1, R.L. Sellin

More information

Bending of nanoscale ultrathin substrates by growth of strained thin films and islands

Bending of nanoscale ultrathin substrates by growth of strained thin films and islands Bending of nanoscale ultrathin substrates by growth of strained thin films and islands Minghuang Huang, 1 P. Rugheimer, 2 M. G. Lagally, 2 and Feng Liu 1, * 1 University of Utah, Salt Lake City, Utah 84112,

More information

Kinetic Monte Carlo simulation of nucleation on patterned substrates

Kinetic Monte Carlo simulation of nucleation on patterned substrates PHYSICAL REVIEW B, VOLUME 63, 035407 Kinetic Monte Carlo simulation of nucleation on patterned substrates L. Nurminen, A. Kuronen, and K. Kaski Helsinki University of Technology, Laboratory of Computational

More information

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb O.D. DUBON, P.G. EVANS, J.F. CHERVINSKY, F. SPAEPEN, M.J. AZIZ, and J.A. GOLOVCHENKO Division of Engineering and Applied Sciences,

More information

Kinetic Monte Carlo simulation of semiconductor quantum dot growth

Kinetic Monte Carlo simulation of semiconductor quantum dot growth Solid State Phenomena Online: 2007-03-15 ISSN: 1662-9779, Vols. 121-123, pp 1073-1076 doi:10.4028/www.scientific.net/ssp.121-123.1073 2007 Trans Tech Publications, Switzerland Kinetic Monte Carlo simulation

More information

Trends in Nanotechnology: Self-Assembly and Defect Tolerance

Trends in Nanotechnology: Self-Assembly and Defect Tolerance Trends in Nanotechnology: Self-Assembly and Defect Tolerance (Invited paper submitted to MSTNEWS 3 January 2001) T. I. Kamins and R. Stanley Williams Quantum Science Research, Hewlett-Packard Laboratories,

More information

Morphological evolution of single-crystal ultrathin solid films

Morphological evolution of single-crystal ultrathin solid films Western Kentucky University From the SelectedWorks of Mikhail Khenner March 29, 2010 Morphological evolution of single-crystal ultrathin solid films Mikhail Khenner, Western Kentucky University Available

More information

Self-organization of quantum-dot pairs by high-temperature

Self-organization of quantum-dot pairs by high-temperature Nanoscale Res Lett (2006) 1:57 61 DOI 10.1007/s11671-006-9002-z NANO EXPRESS Self-organization of quantum-dot pairs by high-temperature droplet epitaxy Zhiming M. Wang Æ Kyland Holmes Æ Yuriy I. Mazur

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Course website: http://www.physics.purdue.edu/academic_programs/courses/phys570p/ Lecture

More information

Controlled fabrication of InGaAs quantum dots by selective area epitaxy MOCVD growth

Controlled fabrication of InGaAs quantum dots by selective area epitaxy MOCVD growth Journal of Crystal Growth 272 (2004) 148 153 www.elsevier.com/locate/jcrysgro Controlled fabrication of InGaAs quantum dots by selective area epitaxy MOCVD growth V.C. Elarde, T.S. Yeoh, R. Rangarajan,

More information

Influence of molecular hydrogen on Ge island nucleation on Si 001

Influence of molecular hydrogen on Ge island nucleation on Si 001 JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 9 1 NOVEMBER 2000 Influence of molecular hydrogen on Ge island nucleation on Si 001 D. Dentel, a) L. Vescan, O. Chrétien, and B. Holländer Institut für Schicht-

More information

PHYSICAL SELF-ASSEMBLY AND NANO-PATTERNING*

PHYSICAL SELF-ASSEMBLY AND NANO-PATTERNING* Mater. Res. Soc. Symp. Proc. Vol. 849 2005 Materials Research Society KK8.4.1 PHYSICAL SELF-ASSEMBLY AND NANO-PATTERNING* T.-M. Lu, D.-X. Ye, T. Karabacak, and G.-C. Wang, Department of Physics, Applied

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

Optical Characterization of Self-Assembled Si/SiGe Nano-Structures

Optical Characterization of Self-Assembled Si/SiGe Nano-Structures Optical Characterization of Self-Assembled Si/SiGe Nano-Structures T. Fromherz, W. Mac, G. Bauer Institut für Festkörper- u. Halbleiterphysik, Johannes Kepler Universität Linz, Altenbergerstraße 69, A-

More information

Self-Assembled InAs Quantum Dots

Self-Assembled InAs Quantum Dots Self-Assembled InAs Quantum Dots Steve Lyon Department of Electrical Engineering What are semiconductors What are semiconductor quantum dots How do we make (grow) InAs dots What are some of the properties

More information

In situ electron-beam processing for III-V semiconductor nanostructure fabrication

In situ electron-beam processing for III-V semiconductor nanostructure fabrication In situ electron-beam processing for III-V semiconductor nanostructure fabrication Tomonori Ishikawa a), Shigeru Kohmoto, Tetsuya Nishimura*, and Kiyoshi Asakawa The Femtosecond Technology Research Association

More information

Influence of Si deposition on the electromigration induced step bunching. instability on Si(111)

Influence of Si deposition on the electromigration induced step bunching. instability on Si(111) Influence of Si deposition on the electromigration induced step bunching instability on Si(111) B.J. Gibbons, J. Noffsinger a), and J.P. Pelz b) Department of Physics, The Ohio State University, Columbus,

More information

Scaling during shadowing growth of isolated nanocolumns

Scaling during shadowing growth of isolated nanocolumns Scaling during shadowing growth of isolated nanocolumns T. Karabacak, J. P. Singh, Y.-P. Zhao, G.-C. Wang, and T.-M. Lu Department of Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute,

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD)

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) SEMICONDUCTOR GROWTH TECHNIQUES Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) Growth Processes Bulk techniques (massive semiconductors, wafers): Si, compounds

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

Haicheng Guo Feng Li Nirand Pisutha-Arnond Danqing Wang. Introduction

Haicheng Guo Feng Li Nirand Pisutha-Arnond Danqing Wang. Introduction Haicheng Guo Feng Li Nirand Pisutha-Arnond Danqing Wang Simulation of Quantum Dot Formation Using Finite Element Method ME-599 Term Project Winter 07 Abstract In this work, we employed the finite element

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk Ultrafast single photon emitting quantum photonic structures based on a nano-obelisk Je-Hyung Kim, Young-Ho Ko, Su-Hyun Gong, Suk-Min Ko, Yong-Hoon Cho Department of Physics, Graduate School of Nanoscience

More information

C. D. Lee and R. M. Feenstra Dept. Physics, Carnegie Mellon University, Pittsburgh, PA 15213

C. D. Lee and R. M. Feenstra Dept. Physics, Carnegie Mellon University, Pittsburgh, PA 15213 Morphology and surface reconstructions of GaN(1 1 00) surfaces C. D. Lee and R. M. Feenstra Dept. Physics, Carnegie Mellon University, Pittsburgh, PA 15213 J. E. Northrup Palo Alto Research Center, 3333

More information

QUANTUM NANOSTRUCTURES

QUANTUM NANOSTRUCTURES QUANTUM NANOSTRUCTURES by Droplet Epitaxy Somsak Panyakeow Semiconductor Device Research Laboratory (SDRL), CoE Nanotechnology Center of Thailand, Department of Electrical Engineering, Faculty of Engineering,

More information

"Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition"

Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition Mater. Res. Soc. Symp. Proc. Vol. 859E 2005 Materials Research Society JJ9.5.1 "Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition" * karabt@rpi.edu Tansel Karabacak *, Gwo-Ching Wang, and

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Lateral heterojunctions within monolayer MoSe 2 -WSe 2 semiconductors Chunming Huang 1,#,*, Sanfeng Wu 1,#,*, Ana M. Sanchez 2,#,*, Jonathan J. P. Peters 2, Richard Beanland 2, Jason S. Ross 3, Pasqual

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

Optical Spectroscopies of Thin Films and Interfaces. Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany

Optical Spectroscopies of Thin Films and Interfaces. Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany Optical Spectroscopies of Thin Films and Interfaces Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany 1. Introduction 2. Vibrational Spectroscopies (Raman and Infrared)

More information

1 Corresponding author:

1 Corresponding author: Scanning Tunneling Microscopy Study of Cr-doped GaN Surface Grown by RF Plasma Molecular Beam Epitaxy Muhammad B. Haider, Rong Yang, Hamad Al-Brithen, Costel Constantin, Arthur R. Smith 1, Gabriel Caruntu

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Raman spectroscopy of self-assembled InAs quantum dots in wide-bandgap matrices of AlAs and aluminium oxide

Raman spectroscopy of self-assembled InAs quantum dots in wide-bandgap matrices of AlAs and aluminium oxide Mat. Res. Soc. Symp. Proc. Vol. 737 2003 Materials Research Society E13.8.1 Raman spectroscopy of self-assembled InAs quantum dots in wide-bandgap matrices of AlAs and aluminium oxide D. A. Tenne, A. G.

More information

Christian Ratsch, UCLA

Christian Ratsch, UCLA Strain Dependence of Microscopic Parameters and its Effects on Ordering during Epitaxial Growth Christian Ratsch, UCLA Institute for Pure and Applied Mathematics, and Department of Mathematics Collaborators:

More information

Direct observation of a Ga adlayer on a GaN(0001) surface by LEED Patterson inversion. Xu, SH; Wu, H; Dai, XQ; Lau, WP; Zheng, LX; Xie, MH; Tong, SY

Direct observation of a Ga adlayer on a GaN(0001) surface by LEED Patterson inversion. Xu, SH; Wu, H; Dai, XQ; Lau, WP; Zheng, LX; Xie, MH; Tong, SY Title Direct observation of a Ga adlayer on a GaN(0001) surface by LEED Patterson inversion Author(s) Xu, SH; Wu, H; Dai, XQ; Lau, WP; Zheng, LX; Xie, MH; Tong, SY Citation Physical Review B - Condensed

More information

Surface Composition Mapping Of Semiconductor Quantum Dots. Stefan Heun, Laboratorio TASC INFM-CNR, Trieste, Italy.

Surface Composition Mapping Of Semiconductor Quantum Dots. Stefan Heun, Laboratorio TASC INFM-CNR, Trieste, Italy. Surface Composition Mapping Of Semiconductor Quantum Dots Stefan Heun, Laboratorio TASC INFM-CNR, Trieste, Italy. Motivation Quantum Dot Applications based on their particular electronic properties (confinement)

More information

Spontaneous lateral composition modulation in InAlAs and InGaAs short-period superlattices

Spontaneous lateral composition modulation in InAlAs and InGaAs short-period superlattices Physica E 2 (1998) 325 329 Spontaneous lateral composition modulation in InAlAs and InGaAs short-period superlattices D.M. Follstaedt *, R.D. Twesten, J. Mirecki Millunchick, S.R. Lee, E.D. Jones, S.P.

More information

Three-dimensional simulations of self-assembly of hut shaped Si-Ge quantum dots

Three-dimensional simulations of self-assembly of hut shaped Si-Ge quantum dots University of Massachusetts Amherst From the SelectedWorks of Ashwin Ramasubramaniam 2004 Three-dimensional simulations of self-assembly of hut shaped Si-Ge quantum dots Ashwin Ramasubramaniam, University

More information

Ge/Si Self-Assembled Quantum Dots and Their Optoelectronic Device Applications

Ge/Si Self-Assembled Quantum Dots and Their Optoelectronic Device Applications INVITED PAPER Ge/Si Self-Assembled Quantum Dots and Their Optoelectronic Device Applications Integration of optical and electronic devices has been achieved by growth of germanium dots, for photodetectors

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information

Mechanical Characterization of High Aspect Ratio Silicon Nanolines

Mechanical Characterization of High Aspect Ratio Silicon Nanolines Mater. Res. Soc. Symp. Proc. Vol. 1086 2008 Materials Research Society 1086-U05-07 Mechanical Characterization of High Aspect Ratio Silicon Nanolines Bin Li 1, Huai Huang 1, Qiu Zhao 1, Zhiquan Luo 1,

More information

Self-assembled SiGe single hole transistors

Self-assembled SiGe single hole transistors Self-assembled SiGe single hole transistors G. Katsaros 1, P. Spathis 1, M. Stoffel 2, F. Fournel 3, M. Mongillo 1, V. Bouchiat 4, F. Lefloch 1, A. Rastelli 2, O. G. Schmidt 2 and S. De Franceschi 1 1

More information

Since first discovered in 1990, 1 heteroepitaxially grown selfassembled

Since first discovered in 1990, 1 heteroepitaxially grown selfassembled pubs.acs.org/nanolett Thermodynamic Self-Limiting Growth of Heteroepitaxial Islands Induced by Nonlinear Elastic Effect Hao Hu, Xiaobin Niu, and Feng Liu*,, Frontier Institute of Science and Technology,

More information

Compositional mapping of semiconductor quantum dots by X-ray photoemission electron microscopy

Compositional mapping of semiconductor quantum dots by X-ray photoemission electron microscopy Compositional mapping of semiconductor quantum dots by X-ray photoemission electron microscopy Stefan Heun CNR-INFM, Italy, Laboratorio Nazionale TASC, Trieste and NEST-SNS, Pisa Outline A brief introduction

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Electronic states of self-organized InGaAs quantum dots on GaAs (3 1 1)B studied by conductive scanning probe microscope

Electronic states of self-organized InGaAs quantum dots on GaAs (3 1 1)B studied by conductive scanning probe microscope Available online at www.sciencedirect.com Physica E 21 (2004) 414 418 www.elsevier.com/locate/physe Electronic states of self-organized InGaAs quantum dots on GaAs (3 1 1)B studied by conductive scanning

More information

Monte Carlo simulation of thin-film growth on a surface with a triangular lattice

Monte Carlo simulation of thin-film growth on a surface with a triangular lattice Vacuum 52 (1999) 435 440 Monte Carlo simulation of thin-film growth on a surface with a triangular lattice Wei Helin*, Liu Zuli, Yao Kailun Department of Physics, Huazhong University of Science and Technology,

More information

Stripes developed at the strong limit of nematicity in FeSe film

Stripes developed at the strong limit of nematicity in FeSe film Stripes developed at the strong limit of nematicity in FeSe film Wei Li ( ) Department of Physics, Tsinghua University IASTU Seminar, Sep. 19, 2017 Acknowledgements Tsinghua University Prof. Qi-Kun Xue,

More information

Lecture 30: Kinetics of Epitaxial Growth: Surface Diffusion and

Lecture 30: Kinetics of Epitaxial Growth: Surface Diffusion and Lecture 30: Kinetics of Epitaxial Growth: Surface Diffusion and Nucleation Today s topics Understanding the basics of epitaxial techniques used for surface growth of crystalline structures (films, or layers).

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy Microelectronic Engineering 73 74 (2004) 524 528 www.elsevier.com/locate/mee Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy A. Sandhu a, *, A. Okamoto b, I. Shibasaki

More information

Site seectivity in the initial oxidation of the Si 111-7=7 surface

Site seectivity in the initial oxidation of the Si 111-7=7 surface Applied Surface Science 126 1998 317 322 ž / Site seectivity in the initial oxidation of the Si 111-7=7 surface Jeong Sook Ha a,), Kang-Ho Park a, El-Hang Lee a, Seong-Ju Park b a Research Department,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Self-assembled Nanostructures at Silicon Surfaces

Self-assembled Nanostructures at Silicon Surfaces Self-assembled Nanostructures at Silicon Surfaces Dmitri Y. Petrovykh Department of Physics, University of Maryland, College Park, MD and Chemistry Division, Naval Research Laboratory, Washington, DC Franz

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Characterization of thick graded Si 1 x Ge x /Si layers grown by low energy plasma enhanced chemical vapour deposition

Characterization of thick graded Si 1 x Ge x /Si layers grown by low energy plasma enhanced chemical vapour deposition Nuclear Instruments and Methods in Physics Research B 215 (24) 235 239 www.elsevier.com/locate/nimb Characterization of thick graded Si 1 x Ge x /Si layers grown by low energy plasma enhanced chemical

More information

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER Castellano, et al. Nuclear Transmutation in Deutered Pd Films Irradiated by an UV Laser. in 8th International Conference on Cold Fusion. 2000. Lerici (La Spezia), Italy: Italian Physical Society, Bologna,

More information

Proc. of SPIE Vol O-1

Proc. of SPIE Vol O-1 Photoluminescence Study of Self-Assembly of Heterojunction Quantum Dots(HeQuaDs) Kurt G. Eyink 1 ; David H. Tomich 1 ; S. Munshi 1 ; Bruno Ulrich 2 ; Wally Rice 3, Lawrence Grazulis 4, ; J. M. Shank 5,Krishnamurthy

More information

Three-Dimensional Silicon-Germanium Nanostructures for Light Emitters and On-Chip Optical. Interconnects

Three-Dimensional Silicon-Germanium Nanostructures for Light Emitters and On-Chip Optical. Interconnects Three-Dimensional Silicon-Germanium Nanostructures for Light Emitters and On-Chip Optical eptember 2011 Interconnects Leonid Tsybeskov Department of Electrical and Computer Engineering New Jersey Institute

More information

Formation and Thermal Stability of sub-10 nm Carbon Templates on Si(100)

Formation and Thermal Stability of sub-10 nm Carbon Templates on Si(100) Submitted to: APL Date: 04-15-04 Formation and Thermal Stability of sub-10 nm Carbon Templates on Si(100) Olivier Guise 1,3,4, Joachim Ahner 3,4,5, John T. Yates, Jr 1, 2,3,4, and Jeremy Levy 2,3,4,+ Department

More information

Crystalline Surfaces for Laser Metrology

Crystalline Surfaces for Laser Metrology Crystalline Surfaces for Laser Metrology A.V. Latyshev, Institute of Semiconductor Physics SB RAS, Novosibirsk, Russia Abstract: The number of methodological recommendations has been pronounced to describe

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

dynamics simulation of cluster beam deposition (1 0 0) substrate

dynamics simulation of cluster beam deposition (1 0 0) substrate Nuclear Instruments and Methods in Physics esearch B 160 (2000) 372±376 www.elsevier.nl/locate/nimb Molecular dynamics simulation of cluster beam Al deposition on Si (1 0 0) substrate H. Zhang, Z.N. Xia

More information

In situ Control of Si/Ge Growth on Stripe-Patterned Substrates Using Reflection High-Energy Electron Diffraction and Scanning Tunneling Microscopy

In situ Control of Si/Ge Growth on Stripe-Patterned Substrates Using Reflection High-Energy Electron Diffraction and Scanning Tunneling Microscopy Nanoscale Res Lett (2010) 5:1935 1941 DOI 10.1007/s11671-010-9814-8 SPECIAL ISSUE ARTICLE In situ Control of Si/Ge Growth on Stripe-Patterned Substrates Using Reflection High-Energy Electron Diffraction

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

Supporting information:

Supporting information: Epitaxially Integrating Ferromagnetic Fe 1.3 Ge Nanowire Arrays on Few-Layer Graphene Hana Yoon, Taejoon Kang, Jung Min Lee, Si-in Kim, Kwanyong Seo, Jaemyung Kim, Won Il Park, and Bongsoo Kim,* Department

More information

Reaction Mechanism of Area-Selective Atomic

Reaction Mechanism of Area-Selective Atomic Supporting Information Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2 O 3 Nanopatterns Seunggi Seo 1, Il-Kwon Oh 1, Byung Chul Yeo 1, 2, Sang Soo Han 2, Chang Mo Yoon 1, JOON YOUNG

More information

Quasi-periodic nanostructures grown by oblique angle deposition

Quasi-periodic nanostructures grown by oblique angle deposition JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 12 15 DECEMBER 2003 Quasi-periodic nanostructures grown by oblique angle deposition T. Karabacak, a) G.-C. Wang, and T.-M. Lu Department of Physics, Applied

More information

Introduction to semiconductor nanostructures. Peter Kratzer Modern Concepts in Theoretical Physics: Part II Lecture Notes

Introduction to semiconductor nanostructures. Peter Kratzer Modern Concepts in Theoretical Physics: Part II Lecture Notes Introduction to semiconductor nanostructures Peter Kratzer Modern Concepts in Theoretical Physics: Part II Lecture Notes What is a semiconductor? The Fermi level (chemical potential of the electrons) falls

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Anisotropic spin splitting in InGaAs wire structures

Anisotropic spin splitting in InGaAs wire structures Available online at www.sciencedirect.com Physics Physics Procedia Procedia 3 (010) 00 (009) 155 159 000 000 14 th International Conference on Narrow Gap Semiconductors and Systems Anisotropic spin splitting

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

GeSi Quantum Dot Superlattices

GeSi Quantum Dot Superlattices GeSi Quantum Dot Superlattices ECE440 Nanoelectronics Zheng Yang Department of Electrical & Computer Engineering University of Illinois at Chicago Nanostructures & Dimensionality Bulk Quantum Walls Quantum

More information

Supplementary Table 1. Parameters for estimating minimum thermal conductivity in MoS2

Supplementary Table 1. Parameters for estimating minimum thermal conductivity in MoS2 Supplementary Table 1. Parameters for estimating minimum thermal conductivity in MoS2 crystal. The three polarizations (TL1 TL2 and TA) are named following the isoenergydecomposition process described

More information

Frictional characteristics of exfoliated and epitaxial graphene

Frictional characteristics of exfoliated and epitaxial graphene Frictional characteristics of exfoliated and epitaxial graphene Young Jun Shin a,b, Ryan Stromberg c, Rick Nay c, Han Huang d, Andrew T. S. Wee d, Hyunsoo Yang a,b,*, Charanjit S. Bhatia a a Department

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Thermal conductivity of symmetrically strained Si/Ge superlattices

Thermal conductivity of symmetrically strained Si/Ge superlattices Superlattices and Microstructures, Vol. 28, No. 3, 2000 doi:10.1006/spmi.2000.0900 Available online at http://www.idealibrary.com on Thermal conductivity of symmetrically strained Si/Ge superlattices THEODORIAN

More information

Wavelength extension of GaInAs/GaIn(N)As quantum dot structures grown on GaAs

Wavelength extension of GaInAs/GaIn(N)As quantum dot structures grown on GaAs PUBLICATION V Journal of Crystal Growth 248 (2003) 339 342 Wavelength extension of GaInAs/GaIn(N)As quantum dot structures grown on GaAs T. Hakkarainen*, J. Toivonen, M. Sopanen, H. Lipsanen Optoelectronics

More information

ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures

ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures Sungmu Kang and Peter J. Burke Henry Samueli School of Engineering, Electrical Engineering and Computer

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Surface Morphology of GaN Surfaces during Molecular Beam Epitaxy Abstract Introduction

Surface Morphology of GaN Surfaces during Molecular Beam Epitaxy Abstract Introduction Surface Morphology of GaN Surfaces during Molecular Beam Epitaxy R. M. Feenstra, Huajie Chen, V. Ramachandran, and C. D. Lee Department of Physics, Carnegie Mellon University, Pittsburgh, Pennsylvania

More information

Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou *

Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou * Low energy cluster beam deposited BN films as the cascade for Field Emission 一 Song Fengqi, Zhang Lu, Zhu Lianzhong, Ge Jun, Wang Guanghou * National laboratory of Solid State Microstructures, Department

More information

Growth of Si whiskers by MBE: Mechanism and peculiarities

Growth of Si whiskers by MBE: Mechanism and peculiarities Physica E 37 (2007) 148 152 www.elsevier.com/locate/physe Growth of Si whiskers by MBE: Mechanism and peculiarities N. Zakharov, P. Werner, L. Sokolov, U. Go sele Max Planck Institute of Microstructure

More information

Silicon Germanium Nanostructures with Quantum Dots: Formation Mechanisms and Electrical Properties

Silicon Germanium Nanostructures with Quantum Dots: Formation Mechanisms and Electrical Properties Semiconductors, Vol. 34, No. 11, 2, pp. 1229 1247. Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 34, No. 11, 2, pp. 1281 1299. Original Russian Text Copyright 2 by Pchelyakov, Bolkhovityanov,

More information

Self-assembled pancake-like hexagonal tungsten oxide with ordered mesopores for supercapacitors

Self-assembled pancake-like hexagonal tungsten oxide with ordered mesopores for supercapacitors Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2018 Electronic Supporting Information Self-assembled pancake-like hexagonal

More information

N M ~OTIC frile COPY OFFICE OF NAVAL RESEARCH. Contract No.: N J R&T Code: 413f008

N M ~OTIC frile COPY OFFICE OF NAVAL RESEARCH. Contract No.: N J R&T Code: 413f008 S N M ~OTIC frile COPY OFFICE OF NAVAL RESEARCH Contract No.: N00014-90-J-1119 R&T Code: 413f008 TECHNICAL REPORT NO. 5 Kinetic Pathway in Stranski-Krastanov Growth of Ge on Si(001) by Y.-W. Mo, D. E.

More information