TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

Size: px
Start display at page:

Download "TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION"

Transcription

1 TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION A. Gharbi, R. Tiron, M. Argoud, P. Pimenta Barros, S. Bos, G. Chamiotmaitral, I. Servin, A. Fouquet, J. Hazart (CEA-LETI) X. Chevalier, C. Navarro, C. Nicolet (ARKEMA) S. Bouanani, C. Lapeyre (STMicroelectronics) 1ST DSA SYMPOSIUM OCTOBER

2 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 2

3 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 3

4 INTRODUCTION - Molecular weight - Composition - CDU Material DSA performances Placement error Pre-pattern - Pre-pattern CD - Density - cavity height Process - Affinity control - Annealing conditions - Defectivity 4

5 DSA CD UNIFORMITY (CDU) Spec. ±8% In-spec. out-of-spec. (nm) DSA CDU mapping Guiding pattern DSA pattern Mean CD CDU (3σ) CD DSA = 24.7nm CD DSA = 22.4nm DSA CD in-spec DSA CD out-spec DSA CD/CDU measured from top-down SEM images 5

6 Placement error Y (nm) PLACEMENT ERROR (PE) Guiding contact DSA contact CH shrink ΔY Δ X CH doubling PE- Y PE- X PE = DSA contact to pre-pattern centers distance PE = Placement dispersion to mean position contacts Mean position Mean ±3σ y ±3σ x Placement error X (nm) Mean (nm) σ (nm) Mean (nm) 3σ (nm) PE-X PE-Y Pitch PE-X -1E PE-Y -9E

7 DEFECTIVITY: HOLE OPEN YIELD (HOY) CH shrink CH doubling HOY = 100% HOY < 100% Defect = missing contact HOY based on statistical measurements over the wafer (~6000 contacts) 7

8 INTRODUCTION: MATERIALS & TOOLS Materials Tools BCPs and neutral layers from PS-b-PMMA cylindrical morphology L 0 = 23nm to 50nm SOKUDO DUO track for self-assembly process Dry etching tools for planarization and DSA transfer CD-SEM, AFM, ellipsometry for characterizations Fully integrated 300mm DSA pilot line at Leti Guiding pattern DSA pattern DSA transfer Brush and BCP auto-coating High temp. hot plates In-line PMMA removal And other DSA R&D modules CD guiding = 55 nm CD DSA = 20 nm M.Argoud et al. Proc of SPIE

9 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 9

10 Litho DSA process flow (contact/via graphoepitaxy) Guiding pattern (193i litho) Guiding pattern (SOC/SiARC etching) Surface preparation BCP coating Self-assembly annealing PMMA removal 10

11 DSA INTEGRATION CHALLENGE: DENSITY DEPENDENCY COMMONLY-USED PROCESS: A SHOWSTOPPER FOR DSA INTEGRATION BCP self-assembly BCP pattern transfer «Old process» CD ~ 15nm BCP pattern transfer CD ~ 15nm 100nm CD ~ 15nm P. Pimenta Barros et al. Proc of SPIE 2014, DSA performances 100nm depending on guiding patterns density 11

12 Normalized BCP thickness Hole Open Yield (%) BCP THICKNESS VARIATION DEPENDENCE ON PRE- PATTERN DENSITY BCP thickness = f (pitch) (a) (b) pitch guiding (nm) (c) old process Hole Open Yield = f (pitch) (a) (b) pitch guiding (nm) (c) old process (a) pitch = 110nm (b) pitch = 250nm (c) pitch = 450nm old process old process old process Defectivity over pre-pattern density induced by BCP film thickness variation 12

13 Litho DSA process flow PS PMMA Guiding pattern (193i litho) Guiding pattern (SOC/SiARC etching) Surface preparation BCP coating Self-assembly annealing PMMA removal DSA planarization BCP over-thickness filling Self-assembly annealing Etch-back & PMMA removal 13

14 Normalized BCP thickness Hole Open Yield (%) «DSA PLANARIZATION» VS. «OLD PROCESS» BCP thickness = f (pitch) 1.8 (c) (b) (a) pitch guiding (nm) old process Hole Open Yield = f (pitch) (a) old process (b) (c) pitch guiding (nm) (a) pitch = 110nm (b) pitch = 250nm (c) pitch = 450nm old process old process old process Defectivity over template density induced by BCP film thickness variation 14

15 Normalized BCP thickness Hole Open Yield (%) «DSA PLANARIZATION» VS. «OLD PROCESS» BCP thickness = f (pitch) (a) (b) pitch guiding (nm) (c) old process new process Hole Open Yield = f (pitch) old process (a) new process (b) (c) pitch guiding (nm) (a) pitch = 110nm (b) pitch = 250nm (c) pitch = 450nm old process new process old process new process old process new process Red: Old process filling guiding patterns with thin BCP film thickness Green: DSA planarization process 15

16 «DSA PLANARIZATION» VS. «OLD PROCESS» P. Pimenta Barros et al. Proc of SPIE 2015, 94280D DSA planarization approach enables to accommodate different template densities on the same processed wafer 16

17 CH doubling CH shrink ISO/DENSE BIAS CONTROL FOR SINGLE & MULTIPLE CONTACTS Dense Semi-Dense Isolated Contact multiplication All images are taken on the same processed wafer Full control of BCP self-assembly through pitch R. Tiron et al. Proc of SPIE 2015,

18 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 18

19 CD DSA (nm) CH SHRINK COMMENSURABILITY CH shrink PW = f (CD guide L 0 = 35nm Process Window (PW) = CD guiding range for 100% HOY 25 Region 1 Region 2 Region 3 BCP: C35 (L 0 = 35nm) 100 Deformed contacts CD guiding =63.3nm CD DSA =22.2nm 100nm ΔCD DSA = 2nm PW HOY (%) CD guiding =53.1nm CD DSA =20.3nm missing contacts 100nm 10 ΔCD guiding = 10nm CDguiding (nm) 20 0 CD guiding =35.7nm CD DSA =17.1nm 100nm CH shrink achieved over 10 nm CD guiding range: 20% CD guiding latitude Slight DSA CD variation of 2nm : BCP absorbs CD guiding variation 19

20 CD DSA (nm) CH SHRINK COMMENSURABILITY CH shrink PW = f (CD guide ; L 0 ) n m 100n m C23 PW ΔCD guiding 45 nm C35 PW 100n m C46 PW C46 L 0 = 46nm C41 L 0 = 41nm C35 L 0 = 35nm C27 L 0 = 27nm C23 L 0 = 23nm ΔL 0 23 nm CD guiding (nm) L 0 : BCP intrinsic period CD guiding (nm) L 0 (nm) BCP molecular weight can be adapted to shrink a needed CD guide 20

21 CH MULTIPLICATION COMMENSURABILITY CH multiplication PW = f (CD guide L 0 = 35nm BCP: C35 (L 0 = 35nm) Pitch can be also sized by pre-pattern dimensions p40nm p80nm 100nm Scale bar = 100nm CH multiplication is depending on (BCP ; Pre-pattern) commensurability 21

22 L guiding (nm) CH MULTIPLICATION COMMENSURABILITY L 0 = 46nm CH doubling PW = f (CD guide ; L 0 ) W guiding L guiding C46 PW (L 0 = 46nm) C35 PW (L 0 = 35nm) C46 C35 L 0 = 35nm C23 PW (L 0 = 23m) C23 70 L 0 = 23nm W guiding (nm) 100nm DSA pitch is siezd by BCP molecular weight Pre-pattern commensurability should be respected 22

23 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 23

24 Litho DSA process flow PS PMMA Guiding pattern (193i litho) Guiding pattern (SOC/SiARC etching) Surface preparation BCP over-thickness filling Self-assembly annealing Etch-back & PMMA removal Pre-pattern surface affinity control Flavor #1: PS affine Flavor #2: PMMA affine (2 BCP chains) (4 BCP chains) 24

25 Hole Open Yield (%) CH SHRINK PW DETERMINATION: HOY BCP: C35 (L 0 = 35nm) Hole Open Yield = f(cd guiding ) 120 (b) PS affine 100 PMMA affine 80 (a) (c) PS affine CD guide = 40nm PS affine PW PMMA affine 20 0 PMMA affine PW CD guiding (nm) CD guide = 55nm PW = CD guiding range for 100% HOY CH shrink PW is modified depending on pre-pattern affinity 25

26 Misalignment (nm) CDU (%) CH SHRINK PW DETERMINATION: CDU & PE BCP: C35 Placement error = f(cd guiding ) 3.5 PS affine 3 PMMA affine 2.5 neutral DSA CD Uniformity = f(cd guiding ) PW PW PW CD guiding (nm) CD guiding (nm) PE & CDU measurements confirm PW definition: PW Better PE and CDU with PS affine process: brushes allowing to better control the surface affinity 26

27 PS affine flavor C46 BCP (L 0 = 46nm) BRUSH M W : A SUPPLEMENTARY PARAMETER TO TUNE PW A/ Low-M w brush CD guiding 60nm B/ High-M w brush CD guiding 85nm CD DSA 20nm CD DSA 20nm For a same BCP, CH shrink PW can be changed by tuning the brush molecular weight 27

28 OUTLINE Introduction DSA planarization approach BCP molecular weight commensurability Pre-pattern affinity control Conclusion 28

29 DSA planarization approach enables to accommodate different template densities on the same wafer PW methodology is available to monitor and benchmark different process flavors CDU, HOY, PE Different ways can be used to shrink a targeted CD by tunning: CONCLUSION BCP molecular weight Brush molecular weight Surface affinity Template surface affinity control is crucial in DSA process to improve CDU, PE and defectivity to tune CH PW 29

30 ACKNOWLEDGMENTS Raluca Tiron Patricia Pimenta-Barros Sandra Bos Shayma Bouanani Gaelle Chamiot-Maitral Maxime Argoud Antoine Fouquet Jerome Hazart Sebastien Berard-Bergery Celine Lapeyre Sebastie Barnola Loic Perraud Xavier Chevalier Christophe Navarro Celia Nicolet Cedric Mongez Vincent Farys Guillaume Fleury Georges Hadziioannou PLACYD 30

31 Thank you for your attention 31

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer Supporting Information for: Rapid Ordering in Wet Brush Block Copolymer/Homopolymer Ternary Blends Gregory S. Doerk* and Kevin G. Yager Center for Functional Nanomaterials, Brookhaven National Laboratory,

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2 1 Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2 1 Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Greece 2 Nanometrisis Co. Hari Pathangi Sriraman, Roel

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Dhairya J. Dixit Vimal Kamineni Richard Farrell Erik R. Hosler Moshe Preil Joseph Race Brennan Peterson

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

OVERVIEW OF THE SUPERTHEME PROJECT

OVERVIEW OF THE SUPERTHEME PROJECT OVERVIEW OF THE SUPERTHEME PROJECT Conference Sponsors: OUTLINE 1. Introduction 2. Background pillars: Process and device 3. Consortium and project data 4. Project structure 5. Methodology used 6. Example:

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled Supporting information Uniform Graphene Quantum Dots Patterned from Selfassembled Silica Nanodots Jinsup Lee,,, Kyungho Kim,, Woon Ik Park, Bo-Hyun Kim,, Jong Hyun Park, Tae-Heon Kim, Sungyool Bong, Chul-Hong

More information

Perfect mixing of immiscible macromolecules at fluid interfaces

Perfect mixing of immiscible macromolecules at fluid interfaces Perfect mixing of immiscible macromolecules at fluid interfaces Sergei S. Sheiko, 1* Jing Zhou, 1 Jamie Boyce, 1 Dorota Neugebauer, 2+ Krzysztof Matyjaszewski, 2 Constantinos Tsitsilianis, 4 Vladimir V.

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Development and characterization of 3D semiconductor X-rays detectors for medical imaging

Development and characterization of 3D semiconductor X-rays detectors for medical imaging Development and characterization of 3D semiconductor X-rays detectors for medical imaging Marie-Laure Avenel, Eric Gros d Aillon CEA-LETI, DETectors Laboratory marie-laure.avenel@cea.fr Outlines Problematic

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Linear Guides SH Series

Linear Guides SH Series inear Guides S Series Ball Slide Models: A, B Front view of A and B types Side view of B type MRO B1 W B 4-M l 1 T MYO K E B 3 W2 W1 Model o. SA SB SA SB S2A S2B SA SB S3A S3B S4A S4B SA SB Assembly Ball

More information

Supporting Information

Supporting Information Block Copolymer Mimetic Self-Assembly of Inorganic Nanoparticles Yunyong Guo, Saman Harirchian-Saei, Celly M. S. Izumi and Matthew G. Moffitt* Department of Chemistry, University of Victoria, P.O. Box

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O Solliance Perovskite based PV (PSC) Program TKI Urban Energy Days - 2017-06-21 l e d b y i m e c, E C N a n d T N O 2 Bringing together research and industry Providing insight and know-how to all partners

More information

arxiv: v1 [cond-mat.mtrl-sci] 14 Nov 2018

arxiv: v1 [cond-mat.mtrl-sci] 14 Nov 2018 Self-assembly of cylinder forming diblock copolymers on modulated substrates: a simulation study arxiv:1811.06084v1 [cond-mat.mtrl-sci] 14 Nov 2018 Karim Gadelrab and Alfredo Alexander-Katz* Department

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Supplementary Figures Supplementary Figure 1

Supplementary Figures Supplementary Figure 1 Supplementary Figures Supplementary Figure 1 Optical images of graphene grains on Cu after Cu oxidation treatment at 200 for 1m 30s. Each sample was synthesized with different H 2 annealing time for (a)

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

The SMART Process for Directed Block Co-Polymer Self-Assembly

The SMART Process for Directed Block Co-Polymer Self-Assembly Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) 573 579 2013SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian

More information

Toward nanoporous composite membranes with tailored block copolymers as selective layer

Toward nanoporous composite membranes with tailored block copolymers as selective layer Research Topic: Toward nanoporous composite membranes with tailored block copolymers as selective layer By Marcel Gawenda Outline of the presentation: 1. Motivation of the project 2. Concept of the project

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX, SUPPORTING INFORMATION FOR: Designing intrablock attractions to increase the χ parameter of a symmetric diblock copolymer Sunshine X. Zhou, Dustin W. Janes, Chae Bin Kim, C. Grant Willson, Christopher

More information

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping A. LALLART 1,2,3,4, P. GARNIER 1, E. LORENCEAU 2, A. CARTELLIER 3, E. CHARLAIX 2 1 STMICROELECTRONICS, CROLLES, FRANCE

More information

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

COMPLEX FLOW OF NANOCONFINED POLYMERS

COMPLEX FLOW OF NANOCONFINED POLYMERS COMPLEX FLOW OF NANOCONFINED POLYMERS Connie B. Roth, Chris A. Murray and John R. Dutcher Department of Physics University of Guelph Guelph, Ontario, Canada N1G 2W1 OUTLINE instabilities in freely-standing

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

New perspectives in X-ray detection of concealed illicit materials brought by CdTe/CdZnTe spectrometric detectors

New perspectives in X-ray detection of concealed illicit materials brought by CdTe/CdZnTe spectrometric detectors New perspectives in X-ray detection of concealed illicit materials brought by CdTe/CdZnTe spectrometric detectors Jean-Marc Dinten, Jean-Louis Amans, Loïck Verger, Olivier Peyret CEA-LETI, MINATEC, Recherche

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

Bincy Jose, Colm T. Mallon, Robert J. Forster & Tia E. Keyes School of Chemical Sciences, Dublin City University, Dublin 9, Ireland

Bincy Jose, Colm T. Mallon, Robert J. Forster & Tia E. Keyes School of Chemical Sciences, Dublin City University, Dublin 9, Ireland Supplementary material for The Application of Selective Surface Modification of Nanocavities Arrays to Compare Surface vs Cavity Plasmons in SERS enhancement Bincy Jose, Colm T. Mallon, Robert J. Forster

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Critical Dimension Control and its Implications in IC Performance

Critical Dimension Control and its Implications in IC Performance 1 Critical Dimension Control and its Implications in IC Performance Costas J. Spanos FLCC, 10/23/06 2 Critical Dimension in Perspective (Leff in particular) Controls both leakage and saturation current

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices

Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices Refraction and Dispersion in Nonlinear Photonic Crystal Superlattices LEOS 18 th Annual Meeting Sydney, Australia Monday, 24 October 2005 Curtis W. Neff, Tsuyoshi Yamashita and Christopher J. Summers Presented

More information

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Jintao Yang, Bin Zhu, Weibin Zha and L. James Lee Department of Chemical and Biomolecular Engineering, The Ohio State University,

More information

Superconducting Ti/TiN thin films for mm wave absorption

Superconducting Ti/TiN thin films for mm wave absorption Superconducting /N thin films for mm wave absorption A.Aliane 1, M. Solana 2, V. Goudon 1, C. Vialle 1, S. Pocas 1, E. Baghe 1, L. Carle 1, W. Rabaud 1, L. Saminadayar 2, L. Dussopt 1, P.Agnese 1, N. Lio

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Graphene. Tianyu Ye November 30th, 2011

Graphene. Tianyu Ye November 30th, 2011 Graphene Tianyu Ye November 30th, 2011 Outline What is graphene? How to make graphene? (Exfoliation, Epitaxial, CVD) Is it graphene? (Identification methods) Transport properties; Other properties; Applications;

More information

Novel Approach of Semiconductor BEOL Processes Integration

Novel Approach of Semiconductor BEOL Processes Integration Novel Approach of Semiconductor BEOL Processes Integration Chun-Jen Weng cjweng825@yahoo.com.tw Proceedings of the XIth International Congress and Exposition June 2-5, 2008 Orlando, Florida USA 2008 Society

More information

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Yu Yao 1, Raji Shankar 1, Patrick Rauter 1, Yi Song 2, Jing Kong

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Contents. Principles: Theory and Practice

Contents. Principles: Theory and Practice Contents Part I Principles: Theory and Practice 1 Physical Principles of Scanning Probe Microscopy Imaging... 3 1.1 Introduction... 3 1.2 The Physical Principles of Atomic Force Microscopy... 4 1.2.1 Forces

More information

Nano-Lithography. Edited by Stefan Landis

Nano-Lithography. Edited by Stefan Landis Nano-Lithography Edited by Stefan Landis IST^ m WILEY Table of Contents Foreword Jörge DE SOUSA NORONHA Introduction Michel BRILLOUET xi xvii Chapter 1. X-ray Lithography: Fundamentals and Applications

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supplementary Information Visualization of equilibrium position of colloidal particles at fluid-water

More information

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die printing system combined with grazing incidence X-ray diffraction (GIXD) set-up. 1 Supplementary Figure 2 2D GIXD images

More information

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA.

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Abstract: By electrically segmenting, and series-connecting

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass and a reference pattern of anatase TiO 2 (JSPDS No.: 21-1272).

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Morphology control of biphasic silica/polystyrene nanoparticles: Towards colloidal molecules

Morphology control of biphasic silica/polystyrene nanoparticles: Towards colloidal molecules Morphology control of biphasic silica/polystyrene nanoparticles: Towards colloidal molecules Prof Etienne DUGUET Bordeaux Institute of Condensed Matter Chemistry/CNRS University of Science and Technology

More information

Structural evolutions in electroactive poly(vdf-co-trfe) copolymers for organic electronics

Structural evolutions in electroactive poly(vdf-co-trfe) copolymers for organic electronics 10/03/16 New-Orleans-USA Structural evolutions in electroactive poly(vdf-co-tr) copolymers for organic electronics François Bargain, Sylvie Tencé-Girault, Fabrice Domingues Dos Santos, Michel Cloitre Soft

More information

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Supporting Information Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Zhongbo Yan, Ming Xia, Pei Zhang, and Ya-Hong Xie* Department of

More information

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern transfer but before pattern shrinkage (middle), and after

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials Louisiana State University LSU Digital Commons LSU Master's Theses Graduate School 10-10-2018 Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Severe Morphological Deformation of Spiro- Temperature

Severe Morphological Deformation of Spiro- Temperature Supplementary Information Severe Morphological Deformation of Spiro- OMeTAD in (CH 3 NH 3 )PbI 3 Solar Cells at High Temperature Ajay Kumar Jena, Masashi Ikegami, Tsutomu Miyasaka* Toin University of Yokohama,

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

INVESTIGATION OF A SPIN-ON DIELECTRIC AS AN INTERLAYER DIELECTRIC FOR THE MARVELL NANOFABRICATION LABORATORY CMOS210 BASELINE PROJECT

INVESTIGATION OF A SPIN-ON DIELECTRIC AS AN INTERLAYER DIELECTRIC FOR THE MARVELL NANOFABRICATION LABORATORY CMOS210 BASELINE PROJECT INVESTIGATION OF A SPIN-ON DIELECTRIC AS AN INTERLAYER DIELECTRIC FOR THE MARVELL NANOFABRICATION LABORATORY CMOS210 BASELINE PROJECT KEVIN CRABBE JULY, 31 ST 2014 2014 TRANSFER TO EXCELLENCE RESEARCH

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Direct Visualization of Large-Area Graphene Domains and Boundaries by Optical Birefringency Dae Woo Kim 1,*, Yun Ho Kim 1,2,*, Hyeon Su Jeong 1, Hee-Tae Jung 1 * These authors contributed equally to this

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Chapter 2. Block copolymers. a b c

Chapter 2. Block copolymers. a b c Chapter 2 Block copolymers In this thesis, the lamellar orientation in thin films of a symmetric diblock copolymer polystyrene-polymethylmethacylate P(S-b-MMA) under competing effects of surface interactions

More information

Positioning, Structuring and Controlling with Nanoprecision

Positioning, Structuring and Controlling with Nanoprecision Positioning, Structuring and Controlling with Nanoprecision Regine Hedderich 1,2, Tobias Heiler 2,3, Roland Gröger 2,3, Thomas Schimmel 2,3 and Stefan Walheim 2,3 1 Network NanoMat 2 Institute of Nanotechnology,

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

on Self-Assembly of Fullerene Molecules

on Self-Assembly of Fullerene Molecules Effect of Surface Preparation of Copper on Self-Assembly of Fullerene Molecules Dongni Ma, Selene Sandoval, Krishna Muralidharan, Srini Raghavan University of Arizona Department of Materials Science and

More information

Supplementary Figure 1. Schematic of rapid thermal annealing process: (a) indicates schematics and SEM cross-section of the initial layer-by-layer

Supplementary Figure 1. Schematic of rapid thermal annealing process: (a) indicates schematics and SEM cross-section of the initial layer-by-layer Supplementary Figure 1. Schematic of rapid thermal annealing process: (a) indicates schematics and SEM cross-section of the initial layer-by-layer film configuration, (b) demonstrates schematic and cross-section

More information