Technology for Micro- and Nanostructures Micro- and Nanotechnology

Size: px
Start display at page:

Download "Technology for Micro- and Nanostructures Micro- and Nanotechnology"

Transcription

1 Lecture 5: Electron-Beam Lithography, Part 1 Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: uni-ulm.de Institute of Optoelectronics University of Ulm Copyright 2012 by Peter Unger Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 1/26 Outline of Lectures 5 and 6: E-Beam Lithography Part 1, Lecture 5 Basic Principle of Electron-Beam Lithography The Electron-Optical Column Lens Errors and Beam Size Mark Registration Field Overlay and Stitching Part 2, Lecture 6 Physics of Lenses for Electrons Scatter Effects of Electron Beams The Proximity Correction Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 2/26

2 Basic Principle of Electron-Beam Lithography Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 3/26 Basic Principle of Electron-Beam Lithography Electron Source is Demagnified onto Substrate using Electron Optics Electron Beam is Scanned by a Deflection Unit Electron Beam can be Switched On and Off Very Fast Using a Beam-Blanking Unit Substrate is Located on an Interferometrically Controlled Stage The Whole Wafer is Exposed by Stitching of Deflection Fields Writing Strategy Within a Deflection Field Raster Scan Vector Scan (Writing of Individual Shapes) Flyback (TV like) Spiral Meander (Boustrophedonic) Shaped Beam Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 4/26

3 Vector Scanning and Raster Scanning A Deflection Field is Typically Addressed by 14 bit (16 384) Resolution. Resolution 100 nm 50 nm 25 nm Deflection Field Size 1.6 mm 0.8 mm 0.4 mm Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 5/26 Writing Strategies for the Shapes The Signals for Beam Deflection to Write the Vector Shapes are Generated by a Digital Pattern Generator. Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 6/26

4 The Digital Pattern Generator The Digital Pattern Generator Mainly Consists of Programmable Counters. The Input Data for the Pattern Generator are Usually the x y Coordinates of the Lower Left and the Upper Right Corner of the Rectangle and the Exposure Frequency. At a Given Beam Current, the Exposure Frequency Determines the Exposure Dose. Output Signals of the Digital Pattern Generator. x and y Deflection Signals. Signal for the Beam-Blanking Unit. Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 7/26 The Electron-Optical Column Electron Source Beam Blanker One or Two Condensor Lenses Objective Lens Beam-Deflection Unit Electron Detectors Substrate Stage Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 8/26

5 Electron-Beam Sources Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 9/26 Comparison of Electron-Beam Sources Tungsten Tip LaB 6 Cathode Field Emitter Minimum Spot Size 5 nm 2.5 nm 1 nm Beam Current A A A Lifetime 30 h 100 h > 1000 h Operating Temp K 2000 K Room Temp. Operating Pressure < 10 5 mbar < 10 6 mbar < mbar Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 10/26

6 Focal Length of and Electron Lens For an Electron Lens, the Focal Length f is not Fixed. It can be Adjusted by the Current Through the Lens Coils. Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 11/26 Blanking Unit for the Electron Beam Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 12/26

7 Condensor and Objective Lenses Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 13/26 Basic Equations for a Thin Lens Magnification: M = S I /S O S I Size of Image, S O Size of Object Ray Equation: M = S I /S O = d I /d O d I Distance Lens to Image, d O Distance Lens to Object Basic Lens Equation: 1 f = d I d O Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 14/26

8 Condensor and Objective Lenses Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 15/26 Electron-Beam Deflection Unit Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 16/26

9 Geometry of an Electron-Optical Column Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 17/26 Lens Errors in Electron-Beam Optics Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 18/26

10 Aberation and Diffraction of an Objective Lens Resolution δ δ min Diffraction δ ~ 1/α Sum of Diffraction and Spheric Aberation Spheric Aberation δ ~ α 3 α opt Beam Divergence Angle α Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 19/26 Spot Size (Resolution) of the Electron Beam Beam Parameters U = 100 kv I = 0.1 na α = 3 mrad Objective Lens d I = 5 mm d O = 25 mm Gold Film Consisting of Small Islands on Carbon Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 20/26

11 Mark Registration by Pattern Recognition Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 21/26 Coordinate-System Transformation Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 22/26

12 Linear Deflection Errors Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 23/26 Digital Correction Unit for Deflection Errors Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 24/26

13 Control Electronics for E-Beam Lithography Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 25/26 Further Reading Henry I. Smith Submicron- and nanometer-structures technology, 2nd edition Lecture 4, Electron Optics and the TEM Lecture 5, Scanning Electron Beam Systems Lecture 14, Electron-Beam Lithography Lecture 15, Electron Scattering and Proximity Effects NanoStructures Press, 437 Peakham Road, Sudbury, MA 01776, USA 1994 Peter Unger, Technology for Micro- and Nanostructures Lecture 5: Electron-Beam Lithography, Part 1, Version of November 28, 2012 p. 26/26

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 6: Electron-Beam Lithography, Part 2 Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

h p λ = mν Back to de Broglie and the electron as a wave you will learn more about this Equation in CHEM* 2060

h p λ = mν Back to de Broglie and the electron as a wave you will learn more about this Equation in CHEM* 2060 Back to de Broglie and the electron as a wave λ = mν h = h p you will learn more about this Equation in CHEM* 2060 We will soon see that the energies (speed for now if you like) of the electrons in the

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Weak-Beam Dark-Field Technique

Weak-Beam Dark-Field Technique Basic Idea recall bright-field contrast of dislocations: specimen close to Bragg condition, s î 0 Weak-Beam Dark-Field Technique near the dislocation core, some planes curved to s = 0 ) strong Bragg reflection

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Optical Microscope Plan Lenses In an "ideal" single-element lens system all planar wave fronts are focused to a point at distance f from the lens; therefore: Image near the optical axis will be in perfect

More information

Part II: Thin Film Characterization

Part II: Thin Film Characterization Part II: Thin Film Characterization General details of thin film characterization instruments 1. Introduction to Thin Film Characterization Techniques 2. Structural characterization: SEM, TEM, AFM, STM

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013065 TITLE: Two-Dimensional Photonic Crystal Fabrication Using Fullerene Films DISTRIBUTION: Approved for public release,

More information

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools 1. Introduction Solid Surfaces Analysis Group, Institute of Physics, Chemnitz University of Technology, Germany 2. Limitations of Conventional Optical Microscopy 3. Electron Microscopies Transmission Electron

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Basic structure of SEM

Basic structure of SEM Table of contents Basis structure of SEM SEM imaging modes Comparison of ordinary SEM and FESEM Electron behavior Electron matter interaction o Elastic interaction o Inelastic interaction o Interaction

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Characterization Tools

Characterization Tools Lectures in Nanoscience & Technology Characterization Tools K. Sakkaravarthi Department of Physics National Institute of Technology Tiruchirappalli 620 015 Tamil Nadu India sakkaravarthi@nitt.edu ksakkaravarthi.weebly.com

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

SEM Doctoral Course MS-636. April 11-13, 2016

SEM Doctoral Course MS-636. April 11-13, 2016 Thomas LaGrange, Ph.D. Faculty Lecturer and Senior Staff Scientist Electron Sources, Optics and Detectors SEM Doctoral Course MS-636 April 11-13, 2016 Summary Electron propagation is only possible through

More information

SEM Optics and Application to Current Research

SEM Optics and Application to Current Research SEM Optics and Application to Current Research Azure Avery May 28, 2008 1 Introduction 1.1 History The optical microscope was invented in the early 17th century. Although revolutionary, the earliest microscopes

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy Field emitting tip Grid 2kV 100kV Anode ZEISS SUPRA Variable Pressure FESEM Dr Heath Bagshaw CMA bagshawh@tcd.ie Why use an SEM? Fig 1. Examples of features resolvable using

More information

ABC s of Electrochemistry series Materials Characterization techniques: SEM and EDS Ana María Valenzuela-Muñiz November 3, 2011

ABC s of Electrochemistry series Materials Characterization techniques: SEM and EDS Ana María Valenzuela-Muñiz November 3, 2011 ABC s of Electrochemistry series Materials Characterization techniques: SEM and EDS Ana María Valenzuela-Muñiz November 3, 2011 CEER, Department of Chemical and Biomolecular Engineering Outline Introduction

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Analytical Methods for Materials

Analytical Methods for Materials Analytical Methods for Materials Lesson 21 Electron Microscopy and X-ray Spectroscopy Suggested Reading Leng, Chapter 3, pp. 83-126; Chapter 4, pp. 127-160; Chapter 6, pp. 191-219 P.J. Goodhew, J. Humphreys

More information

AP5301/ Name the major parts of an optical microscope and state their functions.

AP5301/ Name the major parts of an optical microscope and state their functions. Review Problems on Optical Microscopy AP5301/8301-2015 1. Name the major parts of an optical microscope and state their functions. 2. Compare the focal lengths of two glass converging lenses, one with

More information

1986 s Nobel Prize in Physics

1986 s Nobel Prize in Physics Revised version: 2017.12.19 1986 s Nobel Prize in Physics (Electron Microscope & STM) Huiwon Ahn Seoul National University Department of Physics & Astronomy, Korea Abstract The structure of matter or organisms

More information

Nanofabrication Techniques. Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis

Nanofabrication Techniques. Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis Nanofabrication Techniques Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis Summary Introduction Optical Lithography X-ray lithography E-beam Lithography Ion beam Lithography

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Modern Optical Spectroscopy

Modern Optical Spectroscopy Modern Optical Spectroscopy X-Ray Microanalysis Shu-Ping Lin, Ph.D. Institute of Biomedical Engineering E-mail: splin@dragon.nchu.edu.tw Website: http://web.nchu.edu.tw/pweb/users/splin/ Backscattered

More information

Applications of Electron Beam Lithography (EBL) in Optoelectronics Device Fabrication

Applications of Electron Beam Lithography (EBL) in Optoelectronics Device Fabrication AASCIT Journal of Physics 2018; 4(2): 53-58 http://www.aascit.org/journal/physics ISSN: 2381-1358 (Print); ISSN: 2381-1366 (Online) Applications of Electron Beam Lithography (EBL) in Optoelectronics Device

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Design and Correction of optical Systems

Design and Correction of optical Systems Design and Correction of optical Systems Part 10: Performance criteria 1 Summer term 01 Herbert Gross Overview 1. Basics 01-04-18. Materials 01-04-5 3. Components 01-05-0 4. Paraxial optics 01-05-09 5.

More information

Fadei Komarov Alexander Kamyshan

Fadei Komarov Alexander Kamyshan Fadei Komarov Alexander Kamyshan Institute of Applied Physics Problems, Belarusian State University, Minsk, Belarus KomarovF@bsu.by Tasks and Objects 2 Introduction and motivation Experimental setup designed

More information

Magnifying Glass. Angular magnification (m): 25 cm/f < m < 25cm/f + 1. image at 25 cm (= normal near point) relaxed eye, image at (normal) far point

Magnifying Glass. Angular magnification (m): 25 cm/f < m < 25cm/f + 1. image at 25 cm (= normal near point) relaxed eye, image at (normal) far point Magnifying Glass Angular magnification (m): 25 cm/f < m < 25cm/f + 1 relaxed eye, image at (normal) far point image at 25 cm (= normal near point) For more magnification, first use a lens to form an enlarged

More information

SEM stands for Scanning Electron Microscopy. The earliest known work describing

SEM stands for Scanning Electron Microscopy. The earliest known work describing 1. HISTORY ABOUT SEM SEM stands for Scanning Electron Microscopy. The earliest known work describing the concept of a Scanning Electron Microscope was by M. Knoll (1935) who, along with other pioneers

More information

Electron beam scanning

Electron beam scanning Electron beam scanning The Electron beam scanning operates through an electro-optical system which has the task of deflecting the beam Synchronously with cathode ray tube which create the image, beam moves

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

University of Puerto Rico at Humacao Department of Physics and Electronics

University of Puerto Rico at Humacao Department of Physics and Electronics University of Puerto Rico at Humacao Department of Physics an Electronics Experiment # 6: Electrospinning I Neliza León Brito Prof. Nicholas Pinto FISI 4192-001 February 27, 2007 I. Purpose: The purposes

More information

Chapter 10: Wave Properties of Particles

Chapter 10: Wave Properties of Particles Chapter 10: Wave Properties of Particles Particles such as electrons may demonstrate wave properties under certain conditions. The electron microscope uses these properties to produce magnified images

More information

Nano-Microscopy. Lecture 2. Scanning and Transmission Electron Microscopies: Principles. Pavel Zinin HIGP, University of Hawaii, Honolulu, USA

Nano-Microscopy. Lecture 2. Scanning and Transmission Electron Microscopies: Principles. Pavel Zinin HIGP, University of Hawaii, Honolulu, USA GG 711: Advanced Techniques in Geophysics and Materials Science Nano-Microscopy. Lecture 2 Scanning and Transmission Electron Microscopies: Principles Pavel Zinin HIGP, University of Hawaii, Honolulu,

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Practical course in scanning electron microscopy

Practical course in scanning electron microscopy Practical course in scanning electron microscopy Fortgeschrittenen Praktikum an der Technischen Universität München Wintersemester 2017/2018 Table of contents 1. Introduction 3 2. Formation of an electron

More information

A thesis presented to. the faculty of. the College of Arts and Sciences of Ohio University. In partial fulfillment. of the requirements for the degree

A thesis presented to. the faculty of. the College of Arts and Sciences of Ohio University. In partial fulfillment. of the requirements for the degree Metal Enhanced Fluorescence in CdSe Quantum Dots by Gold Thin Films A thesis presented to the faculty of the College of Arts and Sciences of Ohio University In partial fulfillment of the requirements for

More information

Transmission Electron Microscopy: A Textbook For Materials Science (4-Vol Set) By C. Barry Carter, David B. Williams

Transmission Electron Microscopy: A Textbook For Materials Science (4-Vol Set) By C. Barry Carter, David B. Williams Transmission Electron Microscopy: A Textbook For Materials Science (4-Vol Set) By C. Barry Carter, David B. Williams If you are searched for the ebook Transmission Electron Microscopy: A Textbook for Materials

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Microscopy: Principles

Microscopy: Principles Low Voltage Electron Microscopy: Principles and Applications Edited by David C. Bell Harvard University, USA and Natasha Erdman JEOL USA Inc., USA Published in association with the Royal Microscopical

More information

Lobster-Eye Hard X-Ray Telescope Mirrors

Lobster-Eye Hard X-Ray Telescope Mirrors Lobster-Eye Hard X-Ray Telescope Mirrors Victor Grubsky, Michael Gertsenshteyn, Keith Shoemaker, Igor Mariyenko, and Tomasz Jannson Physical Optics Corporation, Torrance, CA Mirror Technology Days 007

More information

Q2 (Michelson) - solution here

Q2 (Michelson) - solution here The TA is still preparing the solutions for PS#4 and they should be ready on Sunday or early Monday. Meanwhile here are some materials and comments from me. -RSM Q (Michelson) - solution here some notes/comments

More information

Fundamentals of nanoscience

Fundamentals of nanoscience Fundamentals of nanoscience Spectroscopy of nano-objects Mika Pettersson 1. Non-spatially resolved spectroscopy Traditionally, in spectroscopy, one is interested in obtaining information on the energy

More information

Single-shot Ultrafast Electron Microscopy

Single-shot Ultrafast Electron Microscopy Single-shot Ultrafast Electron Microscopy Renkai Li and Pietro Musumeci Department of Physics and Astronomy, UCLA 25 th North American Particle Accelerator Conference Sep 30 - Oct 4, 2013, Pasadena, CA,

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Single Emitter Detection with Fluorescence and Extinction Spectroscopy

Single Emitter Detection with Fluorescence and Extinction Spectroscopy Single Emitter Detection with Fluorescence and Extinction Spectroscopy Michael Krall Elements of Nanophotonics Associated Seminar Recent Progress in Nanooptics & Photonics May 07, 2009 Outline Single molecule

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Periodic microstructures fabricated by multiplex interfering femtosecond laser beams on graphene sheet

Periodic microstructures fabricated by multiplex interfering femtosecond laser beams on graphene sheet Int. J. Nanomanufacturing, Vol. 8, No. 3, 2012 221 Periodic microstructures fabricated by multiplex interfering femtosecond laser beams on graphene sheet Yan Li TEDA Applied Physics School, Nankai University,

More information

The illumination source: the electron beam

The illumination source: the electron beam The SEM Column The illumination source: the electron beam The probe of the electron microscope is an electron beam with very high and stable energy (10-100 kev) in order to get images with high resolution.

More information

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005 Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates Karan Sukhija Co-op Term # 1 April 28 th, 2005 Future Suggested Experiments Acknowledgments Presentation Outline Background

More information

ION SOURCES FOR NANOFABRICATION AND HIGH RESOLUTION LITHOGRAPHY

ION SOURCES FOR NANOFABRICATION AND HIGH RESOLUTION LITHOGRAPHY ON SOURCES FOR NANOFABRCATON AND HGH RESOLUTON LTHOGRAPHY J. Melngailis,* University of Maryland, College Park, MD 20742-3511, USA Abstract on sources that are used to produce nanometer resolution patterns

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM Chapter 9 Electron mean free path Microscopy principles of SEM, TEM, LEEM 9.1 Electron Mean Free Path 9. Scanning Electron Microscopy (SEM) -SEM design; Secondary electron imaging; Backscattered electron

More information

Use of computer generated holograms for alignment of complex null correctors

Use of computer generated holograms for alignment of complex null correctors Use of computer generated holograms for alignment of complex null correctors Rene Zehnder, James H. Burge and Chunyu Zhao College of Optical Sciences, the University of Arizona 1630 E. University Blvd,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Why microscopy?

Why microscopy? Electron Microscopy Why microscopy? http://www.cellsalive.com/howbig.htm 2 Microscopes are used as magnifying tools (although not exclusively as will see later on). The resolution of the human eye is limited

More information

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

P5 Revision Questions

P5 Revision Questions P5 Revision Questions Part 2 Question 1 How can microwaves be used to communicate? Answer 1 Sent from transmitter, received and amplified by satellite in space, re-transmitted back to earth and picked

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Invited Lecture. "Different Aspects of Electron Microscopy. Sardar Vallabhbhai National Institute of Technology, Surat. Deepak Rajput & S.K.

Invited Lecture. Different Aspects of Electron Microscopy. Sardar Vallabhbhai National Institute of Technology, Surat. Deepak Rajput & S.K. Invited Lecture on "Different Aspects of Electron Microscopy at Sardar Vallabhbhai National Institute of Technology, Surat Deepak Rajput & S.K. Tiwary R&D and Product Development Essar Steel Limited Abstract

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

CBE Science of Engineering Materials. Scanning Electron Microscopy (SEM)

CBE Science of Engineering Materials. Scanning Electron Microscopy (SEM) CBE 30361 Science of Engineering Materials Scanning Electron Microscopy (SEM) Scale of Structure Organization Units: micrometer = 10-6 m = 1µm nanometer= 10-9 m = 1nm Angstrom = 10-10 m = 1Å A hair is

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Assignment 3 Due September 27, 2010

Assignment 3 Due September 27, 2010 Assignment 3 Due September 27, 2010 Text readings Stops section 5.3 Dispersing and Reflecting Prisms [sections 5.5.1 and 5.5.2] Optical systems section 5.7 Lens Aberrations [section 6.3] Be careful about

More information

Reverse technique for A1900

Reverse technique for A1900 Reverse technique for A1900 v.9.10.270 from 02/03/16 1. LISE-type reverse file creation 2. Optics (1 1) 3. Optics (2 1) 4. Detector resolution for optics (1 1) 5. Contribution of straggling in wedge 6.

More information

Dual Beam Helios Nanolab 600 and 650

Dual Beam Helios Nanolab 600 and 650 Dual Beam Helios Nanolab 600 and 650 In the Clean Room facilities of the INA LMA, several lithography facilities permit to pattern structures at the micro and nano meter scale and to create devices. In

More information

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Jining Xie Agilent Technologies May 23 rd, 2012 www.agilent.com/find/nano Outline 1. Introduction 2. Agilent

More information

INDIAN INSTITUTE OF TECHNOLOGY ROORKEE NPTEL NPTEL ONLINE CERTIFICATION COURSE. Biomedical Nanotechnology. Lec-05 Characterisation of Nanoparticles

INDIAN INSTITUTE OF TECHNOLOGY ROORKEE NPTEL NPTEL ONLINE CERTIFICATION COURSE. Biomedical Nanotechnology. Lec-05 Characterisation of Nanoparticles INDIAN INSTITUTE OF TECHNOLOGY ROORKEE NPTEL NPTEL ONLINE CERTIFICATION COURSE Biomedical Nanotechnology Lec-05 Characterisation of Nanoparticles Dr. P. Gopinath Department of Biotechnology Indian Institute

More information

Properties of waves. Question. Ch 22, : Waves & interference. Question. Phase difference & interference

Properties of waves. Question. Ch 22, : Waves & interference. Question. Phase difference & interference Exam Tue. Sep. 9, 5:30-7 pm, 45 Birge Covers.5-7,, 3.-4, 3.7, 4.-5, 6 + lecture, lab, discussion, HW Chap.5-7, Waves, interference, and diffraction Chap 3 Reflection, refraction, and image formation Chap

More information

Matter Waves. Chapter 5

Matter Waves. Chapter 5 Matter Waves Chapter 5 De Broglie pilot waves Electromagnetic waves are associated with quanta - particles called photons. Turning this fact on its head, Louis de Broglie guessed : Matter particles have

More information

Everhart-Thornley detector

Everhart-Thornley detector SEI Detector Everhart-Thornley detector Microscope chamber wall Faraday cage Scintillator Electrons in Light pipe Photomultiplier Electrical signal out Screen Quartz window +200 V +10 kv Always contains

More information

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Microsystems Technology Laboratories (MTL) lfvelasq@mit.edu November

More information

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

Wave Nature of Matter

Wave Nature of Matter Wave Nature of Matter Wave-Particle Duality de Broglie proposed that particles with momentum could have an associated wavelength (converse of photons having momentum) de Broglie wavelength h λ = p or p

More information

LASER TRAPPING MICRO-PROBE FOR NANO-CMM

LASER TRAPPING MICRO-PROBE FOR NANO-CMM LASER TRAPPING MICRO-PROBE FOR NANO-CMM T. Miyoshi, Y. Takaya and S. Takahashi Division of Production and Measurement System Engineering Department of Mechanical Engineering and Systems Osaka University,

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figures Supplementary figure S1: Characterisation of the electron beam intensity profile. (a) A 3D plot of beam intensity (grey value) with position, (b) the beam

More information