Fabrication of complex multilevel microchannels in PDMS by using threedimensional

Size: px
Start display at page:

Download "Fabrication of complex multilevel microchannels in PDMS by using threedimensional"

Transcription

1 PAPER Lab on a Chip Fabrication of complex multilevel microchannels in PDMS by using threedimensional photoresist masters Kwang-Seok Yun* a and Euisik Yoon b Received 22nd August 2007, Accepted 21st November 2007 First published as an Advance Article on the web 3rd December 2007 DOI: /b712932g This paper demonstrates a new method of implementing complex microchannels in PDMS, which is simply constructed using three-dimensional photoresist structures as a master mold for the PDMS replica process. The process utilizes UV-insensitive LOR resist as a sacrificial layer to levitate the structural photoresist. In addition, the thickness of photoresist structures can be controlled by multi-step UV exposure. By using these techniques, various three-dimensional photoresist structures were successfully implemented, including the recessed cantilevers, suspended bridges, and the complex plates with micro-pits or micro-villi. We demonstrate that the three-dimensional photoresist structures are applicable to implementing complex multiple microchannels in PDMS by using the PDMS replica method. 1. Introduction In the last few years, polydimethysiloxane (PDMS) has been largely employed for implementation of microfluidic devices. 1 6 The advantages of PDMS are many: 7 optical transparency in a broad range of spectrum, bio and chemical compatibility with safe use, 8,9 low cost, easy and superior bonding property, 10 low water permeability 11,12 and simple processing using the micro molding technique. 7,13 The typical PDMS molding is a process of casting PDMS prepolymer into structured PDMS in solid state, which is composed of three steps: (1) pouring of PDMS prepolymer onto the structured master, (2) thermal curing, and (3) peeling-off of cured PDMS from the master. The structured PDMS can be utilized in a further process through bonding with other plates, such as silicon, glass, plain PDMS, and other structured PDMS. 7,13,14 For casting of PDMS prepolymer, a variety of masters have been employed, including structured silicon or glass plate, electroplated metal on substrate, and most frequently, a patterned photoresist on substrate because of its easy formation on substrate by simple photolithography. Although a simple single-level microchannel in PDMS can be easily formed by those masters, there are growing demands for more complex multilayer structures, such as multilevel microchannels or buried microchannels which are indispensable to implement integrated microfluidic components, 15 micro analytical systems, 16 and integrated microfluidic networks. 17 To date, the buried PDMS channel or multilevel PDMS channel structures have been formed by stacking and bonding of patterned PDMS plates. 5,6,14,15,17 19 These methods require an alignment between PDMS plates, which is not compatible with conventional alignment tools and is easily susceptible to a Department of Electronic Engineering, Sogang University, 1 Shinsoodong, Mapo-gu, Seoul, Korea. ksyun@sogang.ac.kr b Department of Electrical and Computer Engineering, University of Minnesota, EE/CSci Bldg, 200 Union Street S.E., Minneapolis, MN 55455, USA large misalignment. In addition, the via structures, which connect microchannels in different levels, are formed by physical clamping 5,14,18 or spin coating of PDMS prepolymer. 20 This requires a labor-intensive PDMS process, resulting in poor reproducibility. The other method to obtain multilevel microchannels is to utilize the three-dimensional suspended photoresist structures as masters for PDMS replica processes. It has been reported that a negative photoresist, such as SU-8, can easily be made into three-dimensional structures, such as suspended cantilevers or buried channels using photolithographic technology However, such a negative photoresist is hard to remove, and this difficulty restricts its application to sacrificial master structures for PDMS structures formation. Although there are several methods of obtaining three-dimensional masters through three-dimensional MEMS techniques, most of them have the same problem that the negative photoresist has. To address these issues, our research group has previously developed and reported a technique of forming suspended three-dimensional positive photoresist structures on a glass wafer using backside UV exposure. 26 However, this method can be adopted only on transparent substrate, and it requires additional metal deposition/patterning for the backside UV mask. Another problem is a long photoresist development time. The fabrication technique for similar three-dimensional photoresist structures on an opaque substrate like silicon has also been reported on. 27 We adopted LOR resist (Microchem. Co.), which is used for the lift-off process as a sacrificial photoresist. We have fully utilized the properties of LOR resist: insensitivity to UV exposure and selective development in some specific developers. This paper reports on the details of a fabrication technique for the formation of various three-dimensional positive photoresist structures. This technique can be generally applied without limitation in substrate types. Also as an application of this technique, the formation of three-dimensional PDMS microchannel structures will be demonstrated. This journal is ß The Royal Society of Chemistry 2008 Lab Chip, 2008, 8,

2 Table 1 Fabrication process recipe for the measurement of development rate of LOR LOR coating (LOR 10B) 1. Spin coating of LOR resist at 700 rpm for 10 s. 2. Baking on hot plate at 150 uc for 1 min. 3. Spin coating of LOR resist at 700 rpm for 10 s. 4. Baking on hot plate at 150 uc for 1 min. Thin PR coating and photolithography (AZ 6612 K) 1. Spin coating of AZ 6612 K on LOR layer at 4000 rpm for 30 s. 2. Soft bake on hot plate at 85 uc for 1 min. 3. Exposure: 45 mj cm Development in (AZ 340 : DI water = 1 : 5) for about 30 s. Development of LOR 2. Three-dimensional photoresist structures Experiment The three-dimensional photoresist structures were fabricated by using LOR as a sacrificial layer and multi-step exposure of thick photoresist. To measure the development rate of LOR experimentally, samples were prepared where the LOR is undercut in the developer. The details of procedures are described in Table 1. We used LOR 10B (MicroChem Co.) as an undercut resist and AZ 6612 K (Clariant) as a masking resist. The target thicknesses of resist are 30 mm for LOR and 1. Development in (AZ 400 K : DI water = 1 : 4). 2. Rinse with DI water and dry. Fig. 1 Test of LOR development rate. (a) Cross-sectional SEM picture of LOR after 5 min development in AZ 400K developer with a thin AZ 6612K photoresist as a mask. (b) Diagram showing lateral development depth of LOR vs. development time. Linear development rate of 5.7 mm min 21 was measured. Fig. 2 Fabrication process for three-dimensional positive photoresist structures. (a) Spin coating of LOR on silicon substrate. (b) Photolithography of thin photoresist using AZ 340 developer. (c) Development of unmasked LOR in AZ 400K developer. (d) UV exposure and dissolution of thin photoresist in AZ 340. (e) Spin coating of thick photoresist. (f) Shallow exposure. (g) Deep exposure. (h) Development of exposed thick photoresist and whole LOR in AZ 400K. 246 Lab Chip, 2008, 8, This journal is ß The Royal Society of Chemistry 2008

3 1.7 mm for AZ 6612 K, respectively. We tested two different solutions as developer; one is AZ 400 K (Clariant), which is a potassium-based developer, and the other is AZ 340 (Clariant), which is a sodium-based developer. The development rates were measured by examining the undercut with a scanning electron microscope (SEM) for various development times (Fig. 1). Fig. 1 (a) shows the cross-sectional SEM picture of LOR that is masked with a thin AZ 6612 K photoresist after 5 min development in AZ 400 K developer. The development depth was determined at the mean value of the undercut morphology for various development times. As shown in Fig. 1 (b), the development rate of LOR in AZ 400 K developer is about 5.7 mm min 21. However, a similar experiment using an AZ 340 developer shows that LOR is hardly developed in this developer, showing a development rate of less than 1.5 mm min 21. The three-dimensional photoresist structures were fabricated by using the property of LOR and positive photoresist, Table 2 Proposed fabrication process recipe for three-dimensional positive photoresist structures. LOR coating (LOR 10B) Fig. 2 (a) i.e., in the case of the positive photoresist, only the UVexposed portion was dissolved in the developer AZ 400 K or AZ 340, but the LOR was developed in AZ 400 K regardless of the UV exposure. The proposed fabrication processes and recipe for three-dimensional photoresist structures are shown in Fig. 2 and Table 2. First, the 30 mm-thick sacrificial LOR layer was obtained by double spin coatings of LOR 10B (MicroChem Co.) resist on silicon substrate (Fig. 2 (a)). Then, a thin positive photoresist, AZ 6612 K, was spin coated to the thickness of about 1.7 mm. After that, some areas were UVexposed and developed in a sodium-based developer, AZ 340, which dissolves only exposed thin PR layer without developing LOR, as shown in Fig. 2 (b). Then, the unmasked LOR area was developed in a potassium-based developer, AZ 400 K (Fig. 2 (c)). Next, the entire area of the wafer was exposed to UV light without a mask, and only the thin positive photoresist was selectively developed in a AZ 340 developer, in which the LOR resist was hardly dissolved, as in Fig. 2 (d). Now, the thick positive resist, AZ 9260 (Clariant), as a structure material, was spin-coated with a thickness of about 75 mm on the patterned LOR resist, as in Fig. 2 (e). A shallow 1. Spin coating of LOR resist at 700 rpm for 10 s. 2. Baking on hot plate at 150 uc for 1 min. 3. Spin coating of LOR resist at 700 rpm for 10 s. 4. Baking on hot plate at 150 uc for 1 min. Thin PR coating and photolithography (AZ 6612K) Fig. 2 (b) 1. Spin coating of AZ 6612K on LOR layer at 4000 rpm for 30 s. 2. Soft bake on hot plate at 85 uc for 1 min. 3. Exposure: 45 mj cm Development in (AZ 340 : DI water = 1 : 5) for about 30 s. Development of LOR Fig. 2 (c) 1. Development in (AZ 400K : DI water = 1 : 4) for about 4 min 30 s. 2. Rinse with DI water and dry using nitrogen blow. Removal of thin AZ 6612K layer Fig. 2 (d) 1. Exposure: 45 mj cm Development in (AZ 340 : DI water = 1 : 10) for 1minand30s. Spin coating of thick PR (AZ 9260) Fig. 2 (e) 1. 0 rpm 2(0.5 s) A 1500 rpm, spin for 1.2 s 2(0.5 s) A 0 rpm. 2. Stabilization on flat table for 60 min. 3. 1st soft bake on hot plate at 85 uc for 70 min. 4. Edge bead removal by using acetone spray on the edge of wafer. 5. 2nd soft bake on hot plate at 110 uc for 2 min. 6. Water absorption in humidified chamber (humidity of 70%) for 50 min. Exposure Fig. 2 (f)(g) 1. Shallow exposure: 600 mj cm Deep exposure: 3600 mj cm 22. Development of exposed PR and entire LOR 1. Development in (AZ 400K : DI water = 1 : 4) for about 30 to 40 min. 2. Rinse with DI water and dry using nitrogen blow. Fig. 2 (h) Fig. 3 SEM pictures of suspended three-dimensional photoresist structures. The levitation height is about 30 mm and recessed depth by shallow exposure is about 25 mm. Suspended bridges with various lengths and suspended plates with micro-pits and micro-villi are successfully formed. This journal is ß The Royal Society of Chemistry 2008 Lab Chip, 2008, 8,

4 UV exposure was performed to a desired depth (about 25 mm in this work) using a photomask, as in Fig. 2 (f), followed by a deep exposure all the way down to the substrate using another mask, 28 as in Fig. 2 (g). Finally, the three-dimensional photoresist structures were obtained after a single development in the AZ 400 K developer, which dissolved all of the LOR and the exposed thick photoresist (Fig. 2 (h)) Result The SEM photographs of various three-dimensional photoresist structures fabricated by applying the proposed process are shown in Fig. 3. The structures, which are unexposed photoresist structures, are suspended about 30 mm from the bottom by removal of the sacrificial LOR layer and recessed about 25 mm from the top by shallow UV exposure, as shown in Fig. 3 (a). A number of photoresist structures with various dimensions and formations were also fabricated to validate the fabrication process and demonstrate the possible shapes and resolutions. Fig. 3 (b) shows the suspended bridge structures with various three-dimensional shapes. The experiment shows that 500 mm-long bridge structures with a thickness of 20 mm can be successfully formed. Sometimes there was a stiction problem in long and wide bridge structures, where the center of the bridge was stuck on the substrate, as shown in Fig. 3 (c). But in most of the structures, we could successfully obtain the suspended three-dimensional PR structures. Fig. 3 (d) and (e) show the various shapes of photoresist structures, such as suspended plates with micro-pits or micro-villi. During the process and in the final structures, no issues related to stress, such as cracking, were observed. In addition, the surface of the recessed region by shallow exposure appears to be smooth according to SEM pictures. The suspended thickness can be adjusted by controlling the thickness of the LOR layer, and the recessed thicknesses can be adjusted by controlling the energy dose of shallow exposure. 3. Complex microchannels in PDMS Experiment In this work, the three-dimensional photoresist structures are used as a master mold for PDMS (Sylgard 184, Dow Corning Co.) replica formation to fabricate complex microchannels in PDMS. After the fabrication of photoresist structures, the surface of a master plate is modified using tridecafluoro- (1,1,2,2-tetrahydrooctyl)-1-trichlorosilane (United Chemical Technologies) to prohibit permanent bonding between the Fig. 4 Application of three-dimensional photoresist structures. (a) Photoresist master structure for PDMS replica mold (left) and PDMS micromixer structure fabricated using this master structure (right). (b) Microfabricated photoresist structure with a solenoidal shape (left) and PDMS microchannel with green ink filled for visualization (right). 248 Lab Chip, 2008, 8, This journal is ß The Royal Society of Chemistry 2008

5 substrate and PDMS. Next, the PDMS prepolymer is cast onto the substrate, followed by degassing in a vacuum chamber to remove air bubbles and fill the entire gap between the substrate and the suspended photoresist structure. Then the PDMS prepolymer is cured at 70 uc for 3 h on a hotplate. Finally, the hardened PDMS is gently peeled off from the substrate in an acetone bath, dissolving the master photoresist structures. After surface activation by using oxygen plasma treatment, the fabricated PDMS layer is bonded with the substrate or another PDMS layer after alignment if required Result Using the three-dimensional photoresist structures as a mold for the PDMS replica process, we were able to simply fabricate various kinds of PDMS microfluidic structures, such as complex channels, filters, and mixers, as shown in Fig. 4. Our previous work has shown that the formation of a micromixer requires two PDMS structures aligned and bonded together, which has resulted in misalignment in many cases. 9 By using the proposed process, all the micro-mixer channels are accurately formed by using only one molding process, without any misalignment error. Fig. 4 (a) shows the PDMS micro-mixer structure before the bonding on the substrate (right figure) and the photoresist structure used as the master for PDMS molding process (left figure). Fig. 4 (b) shows the three-dimensional photoresist in a solenoidal structure and the corresponding PDMS microchannel where ink flows for visualization. The PDMS prepolymer was cast onto the photoresist structure (left figure) and detached from the substrate after thermal hardening. Because the detachment was performed in an acetone bath, the photoresist was removed from the silicon and PDMS substrates. After rinsing and surface activation, the PDMS was bonded with a glass plate, forming a three-dimensional solenoidal microchannel, as shown in the right figure. We fabricated several types of microchannel structures in PDMS by using the proposed process. More complex Fig. 5 Various types of photoresist structures and multilevel microchannels. The figures on left column are the schematic drawing describing the shape of microchannels and the SEM pictures on middle column show two photoresist structures used as masters to construct a pair of PDMS plates which are aligned and bonded together to form complex PDMS microchannels shown in pictures on right column. The microchannels with the shape of single solenoid (a), double solenoid (b), solenoid with core (c), and transformer (d) have been successfully demonstrated. This journal is ß The Royal Society of Chemistry 2008 Lab Chip, 2008, 8,

6 microfluidic structures can be fabricated if we use two different photoresist structures and corresponding two PDMS plates which are bonded together with a single PDMS alignment. Fig. 5 shows the pictures of various photoresist structures and PDMS microfluidic structures implemented by using each mold. The figures in the left column are the schematic drawing describing the shape of microchannels. The SEM pictures in the middle column show two photoresist structures used as masters to construct a pair of PDMS plates, which are aligned and bonded together to form complex PDMS microchannels shown in pictures in the right column of Fig. 5. The microchannels with the shape of a single solenoid (a), double solenoid (b), solenoid with core (c), and transformer (d) have been successfully demonstrated. Comparing with other PDMS multilayer fabrication techniques that can utilize the master structures over and over again, 5,14,18 the master photoresist structures in our proposed process are only for single use because they are dissolved in acetone during PDMS detachment. However, our fabrication approach provides accurate alignment between multiple microchannels in different levels by using three-dimensional photoresist masters formed by simple photolithography. 4. Conclusion We developed a new technology to implement complex PDMS microchannels. PDMS microchannels were simply constructed by using three-dimensional photoresist structures as a master for the PDMS replica process. This process has utilized LOR resist as a sacrificial layer to levitate the structural photoresist (AZ 9260) and a multi-step exposure to control the thicknesses of photoresist structures. Various shapes of photoresist structures were successfully fabricated, including cantilevers, suspended bridges, and complex plates with micro-pits or micro-villi. Using the PDMS replica method, we demonstrated that the three-dimensional photoresist structures are applicable to implementing complex microchannels in PDMS. Microchannels imbedded in PDMS were fabricated more easily than before by using a single photoresist mold without any alignment. In addition, more complex multilevel microchannels were constructed by bonding two PDMS layers with a single PDMS alignment. Thus, the proposed technique will allow simple and rapid implementation of various microfluidic structures in PDMS, including microchannels, micro-mixers, and microfilters. Acknowledgements This research was undertaken with the help of a research grant from Sogang University in the year 2007 and Korea Research Foundation Grant funded by the Korean Government. References 1 J. C. McDonald and G. M. Whitesides, Acc. Chem. Res., 2002, 35, B. G. Chung, L. A. Flanagan, S. W. Rhee, P. H. Schwartz, A. P. Lee, E. S. Monuki and N. L. Jeon, Lab Chip, 2005, 5, S. W. Rhee, A. M. Taylor, C. H. Tu, D. H. Cribbs, C. W. Cotman and N. L. Jeon, Lab Chip, 2005, 5, J. Millet Larry, E. Stewart Matthew, V. Sweedler Jonathan, G. Nuzzo Ralph and U. Gillette Martha, Lab Chip, 2007, 8, K.-S. Yun and E. Yoon, in Proceedings of mtas 2003, pp K.-S. Yun, D. Lee, H.-S. Kim and E. Yoon, Meas. Sci. Technol., 2006, 17, Y. Xia and G. M. Whitesides, Annu. Rev. Mater. Sci., 1998, 28, L. Tang, M. S. Sheu, T. Chu and Y. H. Huang, Biomaterials, 1999, 20, M. Rosdy, B. Grisoni and L. C. Clauss, Biomaterials, 1991, 12, H. Makamba, J. H. Kim, K. Lim, N. Park and J. H. Hahn, Electrophoresis, 2003, 24, T. C. Merkel, V. I. Bondar, K. Nagai, B. D. Freeman and I. Pinnau, J. Polym. Sci., Part B: Polym. Phys., 2000, 38, S. K. Sia and G. M. Whitesides, Electrophoresis, 2003, 24, D. C. Duffy, O. J. A. Schueller, S. T. Brittain and G. M. Whitesides, J. Micromech. Microeng., 1999, 9, B.-H. Jo, L. M. Van Lerberghe, K. M. Motsegood and D. J. Beebe, J. Microelectromech. Syst., 2000, 9, M. A. Unger, H.-P. Chou, T. Thorsen, A. Scherer and S. R. Quake, Science, 2000, 288, M. A. Burns, B. N. Johnson, S. N. Brahmasandra, K. Handique, J. R. Webster, M. Krishnan, T. S. Sammarco, P. M. Man, D. Jones, D. Heldsinger, C. H. Mastrangelo and D. T. Burke, Science, 1998, 282, T. Thorsen, S. J. Maerkl and S. R. Quake, Science, 2002, 298, J. R. Anderson, D. T. Chiu, R. J. Jackman, O. Cherniavskaya, J. C. McDonald, H. Wu, S. H. Whitesides and G. M. Whitesides, Anal. Chem., 2000, 72, H. Wu, T. W. Odom, D. T. Chiu and G. M. Whitesides, J. Am. Chem. Soc.,, 2003, 125(2), E. P. Kartalov, C. Walker, C. R. Taylor, W. F. Anderson and A. Scherer, Proc. Natl. Acad. Sci. U. S. A., 2006, 103, F. G. Tseng, Y. J. Chuang and W. K. Lin, in IEEE 15th International Conference on Micro Electro Mechanical Systems 2002, 2002, pp F. E. H. Tay, J. A. V. Kan, F. Watt and W. O. Choong, J. Micromech. Microeng., 2001, 11, H. Sato, T. Kakinuma, J. S. Go and S. Shoji, in IEEE 16th International Conference on Micro Electro Mechanical Systems 2003, 2003, pp Y.-K. Yoon, J.-H. Park, F. Cros and M. G. Allen, in IEEE 16th International Conference on Micro Electro Mechanical Systems 2003, 2003, pp M. Han, W. Lee, S.-K. Lee and S. S. Lee, in IEEE 16th International Conference on Micro Electro Mechanical Systems 2003, 2003, pp B.-G. Kim, J.-H. Kim and E. Yoon, in Proceedings of mtas 2003, 2003, pp K.-S. Yun and E. Yoon, in IEEE International MEMS Conference 2004, 2004, pp J.-B. Yoon, J.-D. Lee, C.-H. Han, E. Yoon and C.-K. Kim, Proc. SPIE, 1998, 3512, Lab Chip, 2008, 8, This journal is ß The Royal Society of Chemistry 2008

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature Supplementary Material (ESI) for Lab on a Chip This journal is The Royal Society of Chemistry 2005 Supporting Information Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

More information

Methods. Casting Mold Fabrication. Channel Fabrication. Sample assembly

Methods. Casting Mold Fabrication. Channel Fabrication. Sample assembly Methods Casting Mold Fabrication We fabricated the ratchet devices using the polydimethylsiloxane (PDMS) rapid prototyping technique. Photolithography chrome masks (3" plates, Nanofilm) were patterned

More information

Research Article Plasma-Based Surface Modification of Polydimethylsiloxane for PDMS-PDMS Molding

Research Article Plasma-Based Surface Modification of Polydimethylsiloxane for PDMS-PDMS Molding International Scholarly Research Network ISRN Polymer Science Volume 212, Article ID 767151, 5 pages doi:1.542/212/767151 Research Article Plasma-Based Surface Modification of Polydimethylsiloxane for

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004 Supporting Information for Angew. Chem. Int. Ed. Z53009 Wiley-VCH 2004 69451 Weinheim, Germany Shear Patterning of Microdominos: A New Class of Procedures for Making Micro- and Nanostructures ** Byron

More information

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays 56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays Jong-Wook Jung, Se-Jin Jang, Min Young Jin, You-Jin Lee, Hak-Rin Kim, and Jae-Hoon Kim Department of Electronics

More information

Geometry Induced Microparticle Separation in Passive Contraction Expansion Straight Channels

Geometry Induced Microparticle Separation in Passive Contraction Expansion Straight Channels Geometry Induced Microparticle Separation in Passive Contraction Expansion Straight Channels Mustafa Yilmaz, Meral Cengiz, Huseyin Kizil Dept. of Metallurgical and Materials Eng. Istanbul Technical University

More information

Tuning parameters of metal ion implantation within a microfluidic channel

Tuning parameters of metal ion implantation within a microfluidic channel Tuning parameters of metal ion implantation within a microfluidic channel Jae-Woo Choi* ab, Samuel Rosset a, Muhamed Niklaus a, James R. Adleman b, Herbert Shea a, and Demetri Psaltis a a School of Engineering,

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Supplementary Material (ESI) for Journal of Analytical Atomic Spectrometry This journal is The Royal Society of Chemistry 2010

Supplementary Material (ESI) for Journal of Analytical Atomic Spectrometry This journal is The Royal Society of Chemistry 2010 Magnetic Solid Phase Microextraction on a Microchip Combined with Electrothermal Vaporization Inductively Coupled Plasma Mass Spectrometry for Determination of, and in Cells Beibei Chen 1, Shujing Heng

More information

Soft Lithography and Materials Properties in MEMS

Soft Lithography and Materials Properties in MEMS CL: 6.777J/2.372J Spring 2007, Lecture 5-1 Soft Lithography and Materials Properties in MEMS Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia and Joel Voldman, from

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Imaging based optofluidic air flow meter with polymer interferometers defined by soft lithography

Imaging based optofluidic air flow meter with polymer interferometers defined by soft lithography Imaging based optofluidic air flow meter with polymer interferometers defined by soft lithography Wuzhou Song* and Demetri Psaltis Optics Laboratory, School of Engineering, Swiss Federal Institute of Technology

More information

Lecture 18: Microfluidic MEMS, Applications

Lecture 18: Microfluidic MEMS, Applications MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 18: Microfluidic MEMS, Applications 1 Overview Microfluidic Electrokinetic Flow Basic Microfluidic

More information

Positioning a single Metal Organic Framework particle using the magnetic field.

Positioning a single Metal Organic Framework particle using the magnetic field. Electronic Supplementary Information Positioning a single Metal Organic Framework particle using the magnetic field. Paolo Falcaro, Florance Lapierre, Benedetta Marmiroli, Mark J. Styles, Yonggang Zhu,

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Pressure-Driven Laminar Flow in Tangential Microchannels: an Elastomeric Microfluidic Switch

Pressure-Driven Laminar Flow in Tangential Microchannels: an Elastomeric Microfluidic Switch Anal. Chem. 2001, 73, 4682-4687 Pressure-Driven Laminar Flow in Tangential Microchannels: an Elastomeric Microfluidic Switch Rustem F. Ismagilov, David Rosmarin, Paul J. A. Kenis,, Daniel T. Chiu, Wendy

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

percolating nanotube networks

percolating nanotube networks Supporting Information for: A highly elastic, capacitive strain gauge based on percolating nanotube networks 0.2 0.18 0.16 0.14 Force (kgf) 0.12 0.1 0.08 0.06 0.04 0.02 Raw Data Mooney-Rivlin (R 2 =0.996)

More information

Pinched Flow Fractionation: Continuous Size Separation of Particles Utilizing a Laminar Flow Profile in a Pinched Microchannel

Pinched Flow Fractionation: Continuous Size Separation of Particles Utilizing a Laminar Flow Profile in a Pinched Microchannel Article Subscriber access provided by University of Texas Libraries Pinched Flow Fractionation: Continuous Size Separation of Particles Utilizing a Laminar Flow Profile in a Pinched Microchannel Masumi

More information

Repeating monomer of SiO(CH 3 ) units. Polymerization causes cross linking. Visco elastic polymer (Based on n ). Intrinsically hydrophobic.

Repeating monomer of SiO(CH 3 ) units. Polymerization causes cross linking. Visco elastic polymer (Based on n ). Intrinsically hydrophobic. Repeating monomer of SiO(CH 3 ) units. Polymerization causes cross linking. Visco elastic polymer (Based on n ). H 3 C[SiO(CH 3 ) 2 ] nsi(ch 3 ) 3 Intrinsically hydrophobic. Biocompatible and Oxygen permeable.

More information

ME381 Introduction to MEMS

ME381 Introduction to MEMS ME381 Introduction to MEMS Term Project Dynamic Wettability Switching by Surface Roughness Effect Bo He Hang Cheng Hongzhou Jiang December 6 th, 2002 1 TABLE OF CONTENTS Abstract........1 Introduction......1

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Supporting Information: PDMS Nanocomposites for Heat Transfer Enhancement in. Microfluidic Platforms

Supporting Information: PDMS Nanocomposites for Heat Transfer Enhancement in. Microfluidic Platforms Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Supporting Information: PDMS Nanocomposites for Heat Transfer Enhancement in Microfluidic

More information

Utilizing Quantum Dots to Enhance Solar Spectrum Conversion Efficiencies for Photovoltaics

Utilizing Quantum Dots to Enhance Solar Spectrum Conversion Efficiencies for Photovoltaics Mater. Res. Soc. Symp. Proc. Vol. 1120 2009 Materials Research Society 1120-M09-06 Utilizing Quantum Dots to Enhance Solar Spectrum Conversion Efficiencies for Photovoltaics Richard Savage, Hans Mayer,

More information

Diamond-like-carbon (DLC) master creation for use in soft lithography using the Atomic Force Microscope (AFM)

Diamond-like-carbon (DLC) master creation for use in soft lithography using the Atomic Force Microscope (AFM) Diamond-like-carbon (DLC) master creation for use in soft lithography using the Atomic Force Microscope (AFM) Author Watson, Gregory, Myhra, S., Watson, Jolanta Published 2007 Journal Title Journal of

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

Micro/nano and precision manufacturing technologies and applications

Micro/nano and precision manufacturing technologies and applications The 4th China-American Frontiers of Engineering Symposium Micro/nano and precision manufacturing technologies and applications Dazhi Wang School of Mechanical Engineering Dalian University of Technology

More information

Microfabrication of Bio-Analytical Devices: Microelectrode Array and Traveling-Wave Electrophoresis

Microfabrication of Bio-Analytical Devices: Microelectrode Array and Traveling-Wave Electrophoresis Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 2015 Microfabrication of Bio-Analytical Devices: Microelectrode Array and Traveling-Wave Electrophoresis

More information

Programmable Magnetic Actuation of Biomolecule Carriers using NiFe Stepping Stones

Programmable Magnetic Actuation of Biomolecule Carriers using NiFe Stepping Stones Journal of Magnetics 16(4), 363-367 (2011) http://dx.doi.org/10.4283/jmag.2011.16.4.363 Programmable Magnetic Actuation of Biomolecule Carriers using NiFe Stepping Stones Byunghwa Lim, Ilgyo Jeong, S.

More information

2 Assistant Professor, Department of Chemical and Materials Engineering, University of Kentucky, KY, USA

2 Assistant Professor, Department of Chemical and Materials Engineering, University of Kentucky, KY, USA Synthesis and Characterization of Hydrogels Grown on Surfaces by ATRP Hariharasudhan Chirra 1, James Z. Hilt 2 1 Department of Chemical and Materials Engineering, University of Kentucky, KY, USA 40508.

More information

Supporting Information

Supporting Information Supporting Information Real-Time Monitoring of Insulin Using a Graphene Field-Effect Transistor Aptameric Nanosensor Zhuang Hao, a,b Yibo Zhu, a Xuejun Wang, a Pavana G. Rotti, c,d Christopher DiMarco,

More information

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures Supplementary Information High-Performance, Transparent and Stretchable Electrodes using Graphene-Metal Nanowire Hybrid Structures Mi-Sun Lee, Kyongsoo Lee, So-Yun Kim, Heejoo Lee, Jihun Park, Kwang-Hyuk

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Supplementary Figures

Supplementary Figures Supplementary Figures I n t e g r a l 2. 0 1 3 9 2. 0 4 1 5 0. 0 4 4 2 1. 0 0 0 0 1. 0 0 3 2 4. 1 0 0 6 2. 9 8 6 5 1 0. 1 9 4 8. 5 8. 0 7. 5 7. 0 6. 5 6. 0 5. 5 5. 0 4. 5 4. 0 ( p p m ) 3. 5 3. 0 2. 5

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing Supporting information for: Ferroelectric Zinc Oxide Nanowire Embedded Flexible Sensor for Motion and Temperature Sensing Sung-Ho Shin 1, Dae Hoon Park 1, Joo-Yun Jung 2, Min Hyung Lee 3, Junghyo Nah 1,*

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

Mechanical Engineering Journal

Mechanical Engineering Journal 0123456789 Bulletin of the JSME Mechanical Engineering Journal Vol.3, No.2, 2016 Fabrication and evaluation of micro-structured reaction field with vertically aligned carbon nanotubes for micro bio-analysis

More information

Particle concentration influences inertial focusing in Multiorifice Flow Fractionation microfluidic devices

Particle concentration influences inertial focusing in Multiorifice Flow Fractionation microfluidic devices Correspondence xavier.casadevall@chem.ethz.ch Disciplines Microfluidics Keywords Multiorifice Flow Fractionation Inertial Microfluidics Type of Observation Standalone Type of Link Standard Data Submitted

More information

Supplementary Information

Supplementary Information Supplementary Information Gated Proton Transport in Aligned Mesoporous Silica Films RONG FAN 1, SEONG HUH 1, RUOXUE YAN 1, JOHN ARNOLD 1 & PEIDONG YANG 1,2,3* 1 Department of Chemistry, University of California,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Supplementary Figure 1. SEM images of perovskite single-crystal patterned thin film with

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Biologically Inspired Organic Light-Emitting Diodes

Biologically Inspired Organic Light-Emitting Diodes Supporting Information Biologically Inspired Organic Light-Emitting Diodes Jae-Jun Kim,, Jaeho Lee, Sung-Pyo Yang, Ha Gon Kim, Hee-Seok Kweon ǁ, Seunghyup Yoo, and Ki-Hun Jeong*, Department of Bio and

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

SUPPORTING INFORMATION

SUPPORTING INFORMATION SUPPORTING INFORMATION Liquid Beam Desorption Mass Spectrometry for the Investigation of Continuous Flow Reactions in Microfluidic Chips Sandra Schulze, Maik Pahl, Ferdinand Stolz, ǂ, Johannes Appun, ȹ

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

THE IMPORTANCE of microelectromechanical systems

THE IMPORTANCE of microelectromechanical systems IEEE JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 8, NO. 1, MARCH 1999 85 Wafer-Level In-Registry Microstamping Albert Folch and Martin A. Schmidt Abstract Microstamping is an inexpensive technique

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Fabrication and application of a full wafer size micro/ nanostencil for multiple length-scale surface patterning

Fabrication and application of a full wafer size micro/ nanostencil for multiple length-scale surface patterning Microelectronic Engineering 67 68 (2003) 609 614 www.elsevier.com/ locate/ mee Fabrication and application of a full wafer size micro/ nanostencil for multiple length-scale surface patterning 1 * G.M.

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Fabrication of Topologically Complex Three-Dimensional Microfluidic Systems in PDMS by Rapid Prototyping

Fabrication of Topologically Complex Three-Dimensional Microfluidic Systems in PDMS by Rapid Prototyping Anal. Chem. 2000, 72, 3158-3164 Fabrication of Topologically Complex Three-Dimensional Microfluidic Systems in PDMS by Rapid Prototyping Janelle R. Anderson, Daniel T. Chiu, Rebecca J. Jackman, Oksana

More information

Spectral Detection of Metal Contaminants in Water Using an On-Chip Microglow Discharge

Spectral Detection of Metal Contaminants in Water Using an On-Chip Microglow Discharge IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2317 Spectral Detection of Metal Contaminants in Water Using an On-Chip Microglow Discharge Chester G. Wilson and Yogesh B. Gianchandani,

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/3/9/e1701222/dc1 Supplementary Materials for Moisture-triggered physically transient electronics Yang Gao, Ying Zhang, Xu Wang, Kyoseung Sim, Jingshen Liu, Ji Chen,

More information

A Multifunctional Pipette

A Multifunctional Pipette A Multifunctional Pipette Alar Ainla,* Gavin D. M. Jeffries, Ralf Brune, Owe Orwar and Aldo Jesorka Electronic Supplementary Information (ESI) Table of contents Table of contents... 1 Supplementary methods...

More information

Postprint.

Postprint. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 16th International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS), 2011. Citation for

More information

Bioassay on a Robust and Stretchable Extreme Wetting. Substrate through Vacuum-Based Droplet Manipulation

Bioassay on a Robust and Stretchable Extreme Wetting. Substrate through Vacuum-Based Droplet Manipulation Supporting Information for A Single-Droplet Multiplex Bioassay on a Robust and Stretchable Extreme Wetting Substrate through Vacuum-Based Droplet Manipulation Heetak Han, Jung Seung Lee, Hyunchul Kim,

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Supplementary Methods

Supplementary Methods Supplementary Methods Generation of nanocrack patterns: PDMS (Sylgard 184, Dow Corning) base and curing agent were mixed at a weight ratio of 10:1. Degassed PDMS prepolymer was cast against a photolithographically-prepared

More information

Controlling Electroosmotic Flow in Poly(dimethylsiloxane) Separation Channels by Means of Prepolymer Additives

Controlling Electroosmotic Flow in Poly(dimethylsiloxane) Separation Channels by Means of Prepolymer Additives Anal. Chem. 2006, 78, 4588-4592 Controlling Electroosmotic Flow in Poly(dimethylsiloxane) Separation Channels by Means of Prepolymer Additives Yiqi Luo, Bo Huang, Hongkai Wu, and Richard N. Zare* Department

More information

NUMERICAL INVESTIGATION OF THERMOCAPILLARY INDUCED MOTION OF A LIQUID SLUG IN A CAPILLARY TUBE

NUMERICAL INVESTIGATION OF THERMOCAPILLARY INDUCED MOTION OF A LIQUID SLUG IN A CAPILLARY TUBE Proceedings of the Asian Conference on Thermal Sciences 2017, 1st ACTS March 26-30, 2017, Jeju Island, Korea ACTS-P00786 NUMERICAL INVESTIGATION OF THERMOCAPILLARY INDUCED MOTION OF A LIQUID SLUG IN A

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

High density nanostructure transfer in soft molding using polyurethane acrylate molds and polyelectrolyte multilayers

High density nanostructure transfer in soft molding using polyurethane acrylate molds and polyelectrolyte multilayers INSTITUTE OF PHYSICS PUBLISHING Nanotechnology 14 (2003) 1140 1144 NANOTECHNOLOGY PII: S0957-4484(03)63141-7 High density nanostructure transfer in soft molding using polyurethane acrylate molds and polyelectrolyte

More information

Self-folding thermo-magnetically responsive softmicrogrippers

Self-folding thermo-magnetically responsive softmicrogrippers Supporting Information Self-folding thermo-magnetically responsive softmicrogrippers Joyce C. Breger,, ChangKyu Yoon, Rui Xiao, Hye Rin Kwag, Martha O. Wang, # John P. Fisher, # Thao D. Nguyen,, and David

More information

http://kth.diva-portal.org This is an author produced version of a paper published in IEEE 6th International Conference on Micro Electro Mechanical Systems (MEMS), 013. This paper has been peer-reviewed

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Supporting Information Graphene transfer method 1 : Monolayer graphene was pre-deposited on both

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

Generation of submicrometer structures by photolithography using arrays of spherical microlenses

Generation of submicrometer structures by photolithography using arrays of spherical microlenses Journal of Colloid and Interface Science 265 (2003) 304 309 www.elsevier.com/locate/jcis Generation of submicrometer structures by photolithography using arrays of spherical microlenses Ming-Hsien Wu,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Proceedings MEMS Inertial Switch for Military Applications

Proceedings MEMS Inertial Switch for Military Applications Proceedings MEMS Inertial Switch for Military Applications Hyo-Nam Lee 1, Seung-Gyo Jang 1, *, Sungryeol Lee 2, Jeong-Sun Lee 2 and Young-Suk Hwang 2 1 Agency for Defence Development, Daejeon, Korea; lhn4577@add.re.kr

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor Supporting Information Direct Growth of Graphene Films on 3D Grating Structural Quartz Substrates for High-performance Pressure-Sensitive Sensor Xuefen Song, a,b Tai Sun b Jun Yang, b Leyong Yu, b Dacheng

More information

SCME KIT OVERVIEW. Rainbow Wafer Kit

SCME KIT OVERVIEW. Rainbow Wafer Kit SCME KIT OVERVIEW Rainbow Wafer Kit Micro Nano Conference I - 2011 Objectives of Each Kit The SCME kits are designed to work both as a stand-alone activity as well as to support the materials introduced

More information

Enhanced performance of microbolometer. using coupled feed horn antenna

Enhanced performance of microbolometer. using coupled feed horn antenna Enhanced performance of microbolometer using coupled feed horn antenna Kuntae Kim*,a, Jong-Yeon Park*, Ho-Kwan Kang*, Jong-oh Park*, Sung Moon*, Jung-ho Park a * Korea Institute of Science and Technology,

More information

Electronic Supplementary Information. Continuous Flow Microfluidic-MS System for Efficient OBOC Screening

Electronic Supplementary Information. Continuous Flow Microfluidic-MS System for Efficient OBOC Screening Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Continuous Flow Microfluidic-MS System for Efficient OBOC

More information

NSC E

NSC E NSC 892218E006071 1 Preparation of NSC Project Reports microfluidic channels are fabricated on quartz substrates and then used to imprint microstructures into Polymethylmethacrylate (PMMA) substrates using

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Solder Self-assembly for MEMS

Solder Self-assembly for MEMS Solder Self-assembly for MEMS Kevin F. Harsh, Ronda S. Irwin and Y. C. Lee NSF Center for Advanced Manufacturing and Packaging of Microwave, Optical and Digital Electronics, Department of Mechanical Engineering

More information

Supplementary Information

Supplementary Information Device Fabrication Fig. S. Microfluidic devices consisted of a polycarbonate membrane sandwiched between two PDMS channels, following the technique described by Chueh et al. Anal Chem, 79, 50508, (007).

More information

3D Micropatterned Surface Inspired by Salvinia

3D Micropatterned Surface Inspired by Salvinia Supporting information 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography. Omar Tricinci*,, Tercio Terencio,#, Barbara Mazzolai, Nicola M. Pugno,,, Francesco Greco*,, Virgilio

More information