Evaluating current nanotechnology

Size: px
Start display at page:

Download "Evaluating current nanotechnology"

Transcription

1 11. 3fabrication Evaluating current nanotechnology methods The focus of this topic guide is to research details of a nanotechnology product that is currently being developed, but is not yet on the market. Having reviewed the different methods of nanofabrication that have been developed, you will use information about the product to suggest or justify the choice of nanofabrication technique that will be used to manufacture this product, considering safety and cost implications as you do so. This unit also reviews a variety of commercial applications of nanotechnology, including the use of polymers and organic molecules, and enables you to discuss the way in which your assigned product meets a commercial need. On successful completion of this topic you will: be able to evaluate current nanotechnology fabrication methods (LO3). To achieve a Pass in this unit you need to show that you can: carry out an assessment of different nanofabrication routes to an assigned device design (3.1) plan commercial nanofabrication routes for the assigned device (3.2) produce a report assessing cost, quality and safety of the planned route (3.3) present the findings and make recommendations (3.4). 1

2 1 Applications of nanofabrication methods Link This topic guide links back to the material on fabrication that you encountered in previous parts of the nanotechnology unit, particularly Topic guide These ideas are developed further in order to understand how particular types of nanotechnology products are fabricated, such as nanoscale integrated circuits, MEMS, quantum dots and nanotubes. Case studies are included to show how particular devices and materials are fabricated in many cases there are several possible fabrication routes to particular types of device and these case studies will help you evaluate the choices that are made in nanofabrication processes. Key terms Integrated circuit: A miniature complex of semiconductor devices (for example, transistors and diodes) and passive components (for example, transformers, capacitors, resistors and inducers) that are bonded to a substrate. Doping: Introducing carefully controlled amounts of impurities such as phosphorus or boron into a silicon crystal. Optical resolution: The size of the smallest features that can be displayed or detected by an imaging system. Link You will learn more about the advantages and disadvantages of developing nanoscale integrated circuits in Topic guide Overview of nanofabrication The scientific principles underlying these techniques were laid out in Topic guide In this topic guide, you will be evaluating the fabrication techniques used to manufacture several commercially important nanoproducts: nanoscale integrated circuits microelectromechanical systems (MEMS) quantum dots nanotubes and nanowires. Integrated circuits Integrated circuits commonly referred to by names such as microprocessors or silicon chips have reduced in scale dramatically since their first use in Modern circuits may include components with critical dimensions as small as 65 nm and so these components must be fabricated using nanotechnology. Integrated circuit manufacture makes use of lithography, as described in Topic guide Before lithography is used, a silicon wafer is covered by a thin layer of crystalline silicon (which may be doped with a suitable impurity to create the desired electronic property). As you will recall from the earlier material, in lithography, a pattern from a mask is transferred onto a substrate surface by selectively removing regions of a resist layer that has been exposed to light or UV radiation. Nanolithography To produce patterns on the nanoscale, the optical resolution of the techniques must be improved. The resolution is limited by the wavelength of the light being used, because if the gaps on the mask have a similar wavelength to the light passing through them, the light will undergo diffraction, which causes it to spread out and makes it impossible to produce sharp features on the substrate surface. The conventional light-based lithography can be adapted to produce patterns with features below 100 nm in width by using high frequency ultraviolet radiation, with a wavelength of around 200 nm, but only by making use of specialised techniques for creating the mask. 2

3 To increase the resolution of the technique, X-ray lithography is used (where the wavelength of the radiation is around 1 nm). However, most nanolithography makes use of even higher frequency radiation, for example, X-rays. X-rays have a wavelength of around 1 nm and can increase the resolution to about 15 nm. Although the resolution of these processes is limited to various extents, the speed and relatively low cost (compared to the electron beam methods described below) are significant advantages. Electron beam lithography Increasingly, nanolithography uses electron beam lithography. Electrons can be regarded as having wave properties and the electrons used in electron beam lithography have a wavelength of nm, so very high resolution is, in theory, possible. However, with this system a mask cannot be used. Instead, a beam of electrons is guided across the surface of the resist. The process is far slower than the maskbased processes above, and the cost of the equipment used in the lithography process is very high. Lithography is covered in detail in Introduction to Nanoscience (S.M. Lindsay, OUP, 2010), Chapter 5. Key terms Transducer: A device that converts energy from one form into another. Microactuator: A device, such as a relay or motor, that converts an input of energy into motion. Figure : An electrostatically actuated MEMS device that converts changes in voltage into the motion of a motor. A classic and much-quoted text that describes and evaluates nanofabrication techniques in commercial use is Fundamentals of Microfabrication and Nanotechnology: Manufacturing techniques for microfabrication and nanotechnology (Marc J. Madou, CRC Press, 2011). The book provides the necessary details to help establish the appropriate fabrication technique for any type of micro- or nanomachine. MEMS As well as being instrumental in the manufacture of integrated circuits (at both the nano- and microscale), the two processes of thin film deposition and lithography are used to manufacture microelectromechanical systems, known as MEMS. These are miniaturised mechanical and electromechanical devices and structures in other words, devices with some moving element. MEMS can be used as transducers in the form of: microsensors (e.g. for temperature, chemical species etc.) microactuators (such as gas control valves and optical switches), as shown in Figure Introductory material: MEMS, NEMS and their applications are introduced on the MEMSnet website: 3

4 Key terms Bulk micromachining: A process used during lithography to create 3-D structures within the substrate by selectively etching the substrate. Surface micromachining: A process used during lithography to create 3-D structures on top of the substrate by depositing thin layers on top of the substrate which are then selectively etched. MEMS can also be integrated with conventional integrated circuits onto a single integrated microchip to create smart products that combine the computational ability of microelectronics with the sensing and control properties of microsensors and microactuators. Manufacturing techniques If the MEMS incorporates an integrated circuit, then plainly the manufacture of the MEMS will begin with lithography and etching as described above. To fabricate the 3-D components of MEMS, techniques such as bulk micromachining and surface micromachining are used. These are types of etching processes that create structures either within the substrate or on top of it. Case study: Production of a cantilever by surface micromachining Surface micromachining could be used to create a simple cantilever that can be used as part of a MEMS. A pattern is transferred to an oxide resist on the surface of a silicon substrate by lithography techniques. A second layer, consisting of a silicon-based polymer, is then deposited on top of this. The resist is then dissolved using hydrofluoric acid, leaving a cantilever-like structure constructed from the polymeric material. Question: Oxide resist layers may typically be aluminium oxide or silicon oxide. Find out why hydrofluoric acid is a common choice as an etchant for these oxide layers. Case study: Production of a complex three-dimensional shape using bulk micromachining Bulk micromachining involves the etching of the substrate that has been exposed by the selective removal of the resist. Using a technique known as anisotropic wet chemical etching, the etched surface is removed at different rates, depending on the orientation of the crystal surfaces in the substrate. It makes use of etching agents such as potassium hydroxide solution, which is very effective at etching silica substrates. The result of this is that precise geometric structures, and therefore fine detail, can be produced in the substrate material. By etching from both the front and back of the substrate, complex three-dimensional structures can be formed, as shown in Figure Etched region Frontside mask Silica substrate Backside mask Figure : The fabrication of a complex three-dimensional shape by bulk micromachining. Question: Look at Figure What evidence is there that the frontside and backside mask are manufactured from a different crystalline form of silicon from that in the substrate? 4

5 Details of how several MEMS devices can be manufactured by micromachining processes can be read at Find an example of a simple MEMS such as an accelerometer. The applications of these are discussed in more detail in Topic guide 11.4, Section 1. Find out about the structure of the MEMS and discuss how it could be manufactured. A very useful introduction to this is a video available on YouTube ( watch?v=kzvgku6v808), which describes both the application and fabrication of a type of accelerometer found in most smartphones. 3-DOM materials 3-DOM stands for three-dimensional object modeller. This is essentially the process known as 3-D printing, in which a 3-D object is created by building it up layer by layer from polymer or resin material. When applied to nanomaterials, 3-D printing is a time-consuming, and therefore expensive, process. The technique of two-photon lithography appears to offer much promise for speeding up the process by a factor of 1000-fold. Rather than creating the object using individual layers of resin, lines of solid resin can be created by focusing narrow laser beams at particular locations in a liquid. If just two photons are absorbed by the resin, it will harden and this will occur only in the centre of the laser beam. Remarkable levels of detail on a nanoscale can be achieved using this method, as can be seen in Figure Figure : An object fabricated using 3-D nanoprinting. 5

6 Introductory material: More details of the process used to fabricate the racing car shown in Figure can be found at which includes a real time video showing how the object was built up layer-by-layer. Two-photon lithography is described in a detailed paper at Publications/Papers_Files/0112.pdf. Fabrication Engineer I work in a company developing new molecular-electronic devices. I am responsible for devising methods of fabrication of these devices the circuit design engineers will have already devised the necessary structural features of the device and I will work alongside chemists to select suitable materials for the device and then to fabricate a pilot device, using characterisation methods to analyse it for defects. The pilot fabrication method will then need to be automated to enable large scale production. The work is very team-oriented and I need to have a good knowledge of all aspects of the design process from the electronics and chemistry through to the characterisation techniques used in quality control. Use research to find an example of a 3-D product fabricated by (a) surface or bulk micromachining; (b) 3-D printing on a nanoscale. By comparing the benefits and drawbacks of each method and the nature of the product, give reasons for the choice of fabrication method for each product. Self-assembly techniques: Quantum dots, nanotubes and nanowires Self-assembly Key term Self-assembly: A process in which disordered components (such as molecules) form an organised structure. This is due to the innate interactions between the components rather than any external factor. Bottom-up techniques, especially self-assembly, are important in the formation of nanostructures with an ordered molecular architecture, such as nanotubes and nanowires. Different approaches are used depending on the dimensionality of the nanostructure. Quantum dots As you saw in Topic guide 11.2, nanoparticles can be fabricated by top-down approaches such as chemical vapour synthesis. However, this method does not allow close control of the size of the nanoparticle. In quantum dots, which you were introduced to in Topic guide 11.1, the size of the nanoparticle determines several key properties. Look back at Topic guide 11.1 to remind yourself about the nature of quantum dots. Explain why it is important to control the size of the quantum dot. 6

7 A more controllable method for the fabrication of quantum dots relies on layer-bylayer self-assembly. This was described in Topic guide 11.2 charged surfaces are alternately exposed to solutions of polyelectrolytes. The method can be extended to produce thin films of one semiconductor material on another, for example, indium arsenide (InAs) on gallium arsenide (GaAs). Rather than forming a simple two-dimensional film, islands of InAs form on the GaAs surface that will act as quantum dots. The various methods that can be used to fabricate quantum dots are described in a presentation available at Key term Nanowire: A nanostructure with a length:width ratio of 1000:1 or more; regarded as one-dimensional nanostructures. Nanowires and nanotubes (1-D nanostructures) Several techniques exist to fabricate these structures: By templating: Nanoscale cylindrical holes, such as those found in zeolite structures, can be filled with the required material, for example, gold. This process can produce nanowires by completely filling the cylinders, or nanotubes by just coating the cylinder, as shown in Figure Figure : The fabrication of nanowires and nanotubes by zeolite-based templating. Zeolite substrate (a) Nanowires (b) Nanotubes Figure : Vapour-liquidsolid synthesis techniques. By vapour-liquid-solid synthesis techniques shown in Figure This is often used to produce nanowires of semiconductors such as silicon. Nanoclusters of iron-silicon act as targets for precipitation. These are melted in a furnace and atoms of silicon condense on the target nanocluster. The silicon grows in one direction (anisotropically) and hence a nanowire is formed. Small amounts of phosphorus or boron are introduced to form doped semiconductors. Nanocluster target Nanowire array 7

8 A very detailed source of information about self-assembly is the text Nanochemistry (Ozin and Arsenault, 2005). Chapter 3 deals with self-assembly of 2-D nanostructures, Chapter 4 with 1-D nanostructures and Chapter 5 with 0-dimensional nanostructures. Key term Nanorod: Structure, usually cylindrical, in which all the dimensions are in the range nm and for which the length: width ratio is between 3:1 and 5:1. Solution-based synthesis: nanorods and colloidal gold As described in Section 1 of Topic guide 11.2, solution-based synthesis is described as a soft-fabrication technique as it occurs at ambient reaction conditions. It usually results in the formation of spherical nanoparticles, although under certain conditions nanorods can be formed. Nanorods: three-dimensional nanostructures Nanorods, used as components of MEMS or in LCD displays, can be fabricated by vapour deposition or solution-based synthesis techniques, as described in Topic guide For example, ZnO nanorods are fabricated by creating a vapour of ZnO; nanorods are deposited using the interface between a substrate and a metal catalyst, such as gold, at a very high temperature. However, gold nanorods, with possible applications in sensing and imaging technologies, are fabricated using an adapted version of the colloidal synthesis. HO O O O O Au COO O O O OH COO Figure : Citrate ions bonding to the surface of a growing gold nanoparticle. Case study: Gold nanoparticle synthesis (zero dimensional nanostructures) Gold nanoparticles (or colloidal gold) have been used for centuries in stained glass manufacture due to the intense red or purple colours of the colloidal suspension. Modern methods of fabricating gold nanoparticles use a variation on the method first used by Michael Faraday in 1857, which formed gold by the reduction of solutions containing the [AuCl 4 ] complex. Sodium citrate, or other reducing agents (such as NaBH 4 ) are added to a solution containing [AuCl 4 ] ions. If sodium citrate is used, the citrate ions act both as the reducing agent and also as a stabiliser, capping the surface of the growing nanoparticle with a layer of citrate ions and preventing further growth. The size of the gold nanoparticle depends on the concentration of the citrate ions and on the ph of the solution. Comment on the advantages of a colloidal method as described in this case study, compared with the vapour-phase method mentioned above. Use ideas from the case study to explain why the presence of citrate will produce smaller nanoparticles. Suggest the effect on the size of the nanoparticles of (a) increasing the citrate ion concentration; (b) decreasing the ph (hint: the COO groups in the citrate ions are basic). A variation on the citrate reduction method for forming gold nanoparticles is described in Inorganic Chemistry (5th edition) (Shriver and Atkins, OUP, 2010), p , along with other examples of solution-based synthesis. 8

9 Key term Cross-link: Strong bond (usually covalent in nature) formed between adjacent polymer chains and causing a modification in properties such as flexibility, melting point or solubility. 2 Polymers and organic molecules Applications in nanotechnology The range of applications of polymers Polymers play a role in several features of nanotechnology. For example: photoresists are made of polymeric material polyelectrolyte polymers are the basic building blocks used in layer-by-layer self-assembly certain types of polymers known as block co-polymers can self-assemble into complex nanostructures. Polymers as resists As explained in Topic guide 11.2, during lithography, a layer of photoresist is applied to a substrate prior to exposure to light from the lithography mask: the photoresist may be positive so that when exposed to light it becomes soluble in a suitable solvent it may be negative exposure to light makes it insoluble in the solvent used in the process. The process of increasing or decreasing solubility often involves the breaking or forming of cross-links between the polymer chains. Research some examples of positive and negative resists, and use them to explain how exposing polymers to ultraviolet light can change the solubility of the polymer in solvents. Suitable examples to use could be DNQ (positive resist) and SU-8 (negative resist). More details of other polymers used in photoresists can be found at ~chem421/polymod2.htm. Polymers in layer-by-layer self-assembly As previously explained in Topic guide 11.2, this is a nanofabrication process involving the building up of alternating layers of positively and negatively charged polyelectrolytes. The process involves creating a primer layer on the substrate surface. For example, if the substrate is gold, then molecules containing thiol (SH) groups can attach to the surface. If these molecules are modified to contain charged groups, such as amino or sulfonate groups, then a layer of polyelectrolyte will become strongly bound to it. Possible polyelectrolytes could be: negatively-charged polyelectrolyte: sodium salt of poly(styrene sulfonate) positively-charged polyelectrolyte: poly(allylamine hydrochloride). 9

10 Find the structures of these polyelectrolytes and hence sketch out a diagram to show the structure of a self-assembled structure based on a thiol-primed gold substrate alternately exposed to these two polyelectrolytes. Other examples: polymers as nanomaterials There are several emerging uses of polymers as nanomaterials. Some particularly interesting ones include: biocomposites organic-inorganic composites organic light-emitting diodes (OLEDs) printed electronic devices. Research some of these applications and find out more about the way that polymers are involved in these materials or devices. Fabricating polymer nanostructures Many of the polymers mentioned in the text in this section can be fabricated by self-assembly methods such as the block co-polymer self-assembly method described in Topic guide Supramolecular chemistry Supramolecular chemistry is concerned with the bringing together of molecules to form larger structures, held together by intermolecular forces such as hydrogen bonds or Van der Waals interactions. Processes of these sorts are important in biology, of course, notably in the interactions between enzymes and substrates, or the binding of signalling molecules to receptor sites. In the field of supramolecular chemistry, the molecules that interact to form supramolecular structures are known as host and guest ; supramolecular chemistry is therefore about the formation of host-guest complexes: the host molecule is a large molecule or other structure with a cavity able to act as a binding site the guest molecule(s) will bind to this host molecule in a particular orientation or arrangement. As well as processes similar to those occurring in biological systems supramolecular chemistry could involve: zeolites trapping smaller molecules crown ethers trapping metal ions. Supramolecular chemistry and nanotechnology Several of the processes that occur during the fabrication of nanoscale structures covered in the last two topic guides could be regarded as 10

11 non-covalent interaction between a host structure and guest molecules, for example, the synthesis of gold nanoparticles described in Section 1 of this topic guide. Exciting research is being carried out at the interface of supramolecular chemistry and nanoscience. Much supramolecular chemistry uses principles from biology in order to control the synthesis of supramolecular structures. Such methods for example making use of DNA as a template to direct the assembly of complex structures may have great future importance in nanotechnology. The use of processes derived from biology is known as biomimetics (see Topic guide 11.4). Look back over the fabrication methods covered in Topic guides 11.2 and Identify two of these that involve host-guest interactions and thus could be classified as supramolecular chemistry. Introductory material: The book Molecules: A very short introduction (Philip Ball, OUP, 2003), contains several good sections that introduce aspects of supramolecular chemistry in a nontechnical way (see, for example, Chapter 6 p , which deals with synthetic communication systems that mimic those found in biological systems). A more advanced text, Supramolecular Chemistry From Biological Inspiration to Biomedical Applications (Peter J. Cragg, 2010), Chapter 1, gives a relatively accessible introduction to the field, including an outline of the history of its development and a description of how it links with nanotechnology. Much of this chapter is viewable through Google Books. 3 Reviewing the technology Case study of a nanotechnology product You will be guided by your tutor to select a nanotechnology product or device that is currently being marketed or which is being prepared for marketing. To meet the assessment criteria for this section of the course, you will need to research four key aspects of the product or device and present them in an appropriate way. You will need to describe: the need that is being addressed by the product and how the product addresses this need the company fabricating and marketing the product and the target market for the product the fabrication method(s) used in manufacturing the product or device and the reasons for the selection of this method the factors affecting the cost and quality of the fabrication method and the health and safety implications. 11

12 Assessing the need You will need to find information relating to these questions: What are the properties of the device/product? How can these properties be explained by the structural features of the device/product? For what commercial application could these properties be useful? How far does the product/device meet the needs of this commercial application? Research a nanotechnology product. Good examples might be a product making use of quantum dots or a MEMS-based sensor. Write a report on the product, addressing the bullet points above. The company You will need to find information relating to these questions: What is the name of the company and where is it based? How long has it been in the nanotechnology market and what range of products does it market? Who is likely to be the target market for the product/device and how large might this market be? Are there other companies that market similar competing devices? Link You may need to use ideas from Topic guide 11.4, Section 1 (Commercial applications) in this task. Research a company specialising in nanoproducts. A list of large and small companies that are developing or using nanotechnology in their products is available from corporatewatch.org ( Choose a nanotechnology-based product produced by a named company and write a report covering the bullet points above. The fabrication methods You will need to use information about the device to answer these questions: What kind of product/device is this (for example, a MEMS/NEMS, nanowire, nanotube or spherical nanoparticle)? Can you find out details of its structure (for example, the components present, the atoms/molecules used in the structure, the key dimensions, etc.)? What nanofabrication method(s) could be used in the construction of the device or its components? What are the key features of this nanofabrication method? Why is the nanofabrication method particularly appropriate for the manufacture of the device/component (for example, in comparison with other methods)? 12

13 Choose a simple nanoproduct, for example, a MEMS microsensor. Research the questions above and write a report addressing these questions. You will need to use ideas from Topic guides 11.2, Section 1 and 11.3, Section 1 in this task. Cost, quality control and safety You will need to use information about the fabrication process to answer these questions: What kind of equipment will be necessary in the fabrication method? How expensive is this equipment? What other specialised conditions (for example, vacuum, controlled temperature) are necessary for the process? How significant will these be for the cost of the process? What issues might there be for quality control of the product (for example, controlling the size of key dimensions, ensuring accurate transfer of patterns onto a resist, controlling the micromachining process)? What techniques exist for quality control of these features? What are the hazards of the substances used in the process? How can the risk of exposure to these hazards be minimised? What other hazards are there in the process (for example, use of high-energy lasers, etc.)? How can the risk of exposure to these hazards be minimised? Choose a suitable manufacturing process, for example, the production of the MEMS microsensor from the previous activity. Write a report addressing the questions above. The government-sponsored site has a range of helpful information about the safety of nanotechnology. Detailed safety information about a range of fabrication processes is available in a 2004 HSE report: A parallel report considers the toxicity of a range of nanoparticles: 13

14 Case study: Which nanodevice? Which fabrication method? An interesting example of an application of nanotechnology, in which the same commercial need can be met by several types of device, is in the development of flat panel displays as used in televisions, computer monitors and mobile phone displays. Since the beginning of the century, the traditional cathode-ray tube formerly used for television screens and computer monitors has been completely superseded by flat panel displays, which, in turn, has enabled the development of smartphones and tablets with high definition yet physically tiny displays. Flat panel displays the options A variety of techniques exist that can produce a flat panel display. Some are already in use and some are in development. All of the technologies below make use of nanomaterials in some way: LCD (liquid crystal displays), backlit by carbon nanotubes organic light-emitting diodes (OLEDs) field emission displays (FEDs), using carbon nanotubes as electron emitters quantum dot LED displays (QD-LED) MEMS displays. Research the five technologies for flat panel displays mentioned in the case study above, Introductory commenting on material: the advantages A briefing and sheet disadvantages from the Observatory of each technology. NANO project The Observatory gives an introduction NANO to briefing these methods, sheet is a good and is place available to start at (see ). ObservatoryNANO%20Briefing%20No.9%20Nanotechnology%20for%20Flat%20Panel%20 Use information from this unit further research describe one possible method of fabricating Displays.pdf. the nanodevice used in each of these technologies. Portfolio activity (3.1, 3.2, 3.3, 3.4) Following discussion with your tutor, you will be assigned a suitable nanodevice to research. You will be required to research this device and the nanofabrication methods that will be used in its manufacture. You will then prepare a report and present your findings and recommendations. In your report you should: describe the possible nanofabrication routes to manufacture your assigned device assess these routes and describe the most suitable route assess the cost implications of the fabrication route and any significant issues of quality control describe the health and safety implications of the fabrication route assess the commercial viability of the product in terms of the likely market for the device. 14

15 Checklist At the end of this topic guide you should be familiar with the following ideas: there may be a choice of fabrication routes for particular types of nanodevices or nanomaterials MEMS fabrication may involve various types of micromachining fabrication of carbon nanotubes and nanowires uses templating and vapour deposition quantum dots may be fabricated using layer-by-layer self-assembly a range of polymer materials is employed in fabrication techniques, as resists or the building blocks of layers many fabrication methods involve supramolecular chemistry choice of fabrication route will involve consideration of safety implications and quality control issues as well as costs. Further reading This topic guide applies the principles of nanofabrication encountered in Topic guide 11.2, and so many of the suggestions there will also be helpful here. It is possible that more detailed sources of information may be needed to research particular nanofabrication techniques; the highly specialised text Fundamentals of Microfabrication and Nanotechnology: Manufacturing techniques for microfabrication and nanotechnology (Marc J. Madou, CRC Press, 2011) may be helpful to consult for specific information on a given technique. A very detailed source of information about self-assembly is the text Nanochemistry (Ozin and Arsenault, 2005). Chapter 3 deals with self-assembly of 2-D nanostructures, Chapter 4 with 1-D nanostructures and Chapter 5 with 0-dimensional nanostructures. Otherwise, there are many helpful websites that provide more information about the case studies used in this chapter, and similar related ones. For example: MEMS fabrication is covered on the MEMSnet and MEMS-exchange websites: Quantum dot fabrication techniques are compared in a presentation at nano/wp/quantum_dots_wp.pdf. The fast-growing and fascinating field of supramolecular chemistry is only dealt with briefly in this topic guide, but introductory and more detailed coverage can be found in Molecules: A very short introduction (Philip Ball, OUP, 2004) and Supramolecular Chemistry From Biological Inspiration to Biomedical Applications (Peter J. Cragg, 2010). Acknowledgements The publisher would like to thank the following for their kind permission to reproduce their photographs: Shutterstock.com: imredesiuk; Corbis: David Scharf / Science Faction 3; Vienna University of Technology 5 All other images Pearson Education We are grateful to the following for permission to reproduce copyright material: Illustration of shape of the etch profiles of a <100> oriented silicon substrate after immersion in an anisotropic wet etchant solution, produced by The MEMS and Nanotechnology Exchange. Reproduced with permission of the Corporation for National Research Initiatives. Every effort has been made to trace the copyright holders and we apologise in advance for any unintentional omissions. We would be pleased to insert the appropriate acknowledgement in any subsequent edition of this publication. 15

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

11. Key concepts used to solve nanotechnology 2problems

11. Key concepts used to solve nanotechnology 2problems 11. Key concepts used to solve nanotechnology 2problems In this topic guide you will look at the scientific principles that underpin the main fabrication methods used to generate nanoparticles. Such techniques

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS A. Z. Cheng 1, R. Swaminathan 2 1 Nanotechnology Engineering, University of Waterloo, azcheng@uwaterloo.ca; 2 Nanotechnology Engineering,

More information

Seminars in Nanosystems - I

Seminars in Nanosystems - I Seminars in Nanosystems - I Winter Semester 2011/2012 Dr. Emanuela Margapoti Emanuela.Margapoti@wsi.tum.de Dr. Gregor Koblmüller Gregor.Koblmueller@wsi.tum.de Seminar Room at ZNN 1 floor Topics of the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy Micromechanics Ass.Prof. Priv.-Doz. DI Dr. Harald Plank a,b a Institute of Electron Microscopy and Nanoanalysis, Graz

More information

SCME KIT OVERVIEW. Rainbow Wafer Kit

SCME KIT OVERVIEW. Rainbow Wafer Kit SCME KIT OVERVIEW Rainbow Wafer Kit Micro Nano Conference I - 2011 Objectives of Each Kit The SCME kits are designed to work both as a stand-alone activity as well as to support the materials introduced

More information

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly I. Nanofabrication and Characterization : TOC I. NANOFABRICATION O AND CHARACTERIZATION Chap. 1 : Nanolithography Chap. 2 : Self-Assembly Chap. 3 : Scanning Probe Microscopy Nanoscale fabrication requirements

More information

Chapter 12 - Modern Materials

Chapter 12 - Modern Materials Chapter 12 - Modern Materials 12.1 Semiconductors Inorganic compounds that semiconduct tend to have chemical formulas related to Si and Ge valence electron count of four. Semiconductor conductivity can

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M)

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M) Nano-mechatronics Presented by: György BudaváriSzabó (X0LY4M) Nano-mechatronics Nano-mechatronics is currently used in broader spectra, ranging from basic applications in robotics, actuators, sensors,

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Inorganic compounds that semiconduct tend to have an average of 4 valence electrons, and their conductivity may be increased by doping.

Inorganic compounds that semiconduct tend to have an average of 4 valence electrons, and their conductivity may be increased by doping. Chapter 12 Modern Materials 12.1 Semiconductors Inorganic compounds that semiconduct tend to have an average of 4 valence electrons, and their conductivity may be increased by doping. Doping yields different

More information

CHAPTER 3. FABRICATION TECHNOLOGIES OF CdSe/ZnS / Au NANOPARTICLES AND NANODEVICES. 3.1 THE SYNTHESIS OF Citrate-Capped Au NANOPARTICLES

CHAPTER 3. FABRICATION TECHNOLOGIES OF CdSe/ZnS / Au NANOPARTICLES AND NANODEVICES. 3.1 THE SYNTHESIS OF Citrate-Capped Au NANOPARTICLES CHAPTER 3 FABRICATION TECHNOLOGIES OF CdSe/ZnS / Au NANOPARTICLES AND NANODEVICES 3.1 THE SYNTHESIS OF Citrate-Capped Au NANOPARTICLES Au NPs with ~ 15 nm were prepared by citrate reduction of HAuCl 4

More information

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by Foundations of MEMS Second Edition Chang Liu McCormick School of Engineering and Applied Science Northwestern University International Edition Contributions by Vaishali B. Mungurwadi B. V. Bhoomaraddi

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

Appendix A. Assessments Points 4 Mode of Assessments. New Course Code and Title Course Coordinator. MS741M Nanomaterials

Appendix A. Assessments Points 4 Mode of Assessments. New Course Code and Title Course Coordinator. MS741M Nanomaterials New Course Code and Title Course Coordinator Details of Course MS741M Nanomaterials AP Jason Xu (Course Coordinator) AP Xue Can Rationale for introducing this course This course will cover the subject

More information

Nanobiotechnology. Place: IOP 1 st Meeting Room Time: 9:30-12:00. Reference: Review Papers. Grade: 40% midterm, 60% final report (oral + written)

Nanobiotechnology. Place: IOP 1 st Meeting Room Time: 9:30-12:00. Reference: Review Papers. Grade: 40% midterm, 60% final report (oral + written) Nanobiotechnology Place: IOP 1 st Meeting Room Time: 9:30-12:00 Reference: Review Papers Grade: 40% midterm, 60% final report (oral + written) Midterm: 5/18 Oral Presentation 1. 20 minutes each person

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

CORE MOLIT ACTIVITIES at a glance

CORE MOLIT ACTIVITIES at a glance CORE MOLIT ACTIVITIES at a glance 1. Amplification of Biochemical Signals: The ELISA Test http://molit.concord.org/database/activities/248.html The shape of molecules affects the way they function. A test

More information

Nanostructures. Lecture 13 OUTLINE

Nanostructures. Lecture 13 OUTLINE Nanostructures MTX9100 Nanomaterials Lecture 13 OUTLINE -What is quantum confinement? - How can zero-dimensional materials be used? -What are one dimensional structures? -Why does graphene attract so much

More information

Semiconductor Polymer

Semiconductor Polymer Semiconductor Polymer Organic Semiconductor for Flexible Electronics Introduction: An organic semiconductor is an organic compound that possesses similar properties to inorganic semiconductors with hole

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Nano Materials and Devices

Nano Materials and Devices Nano Materials and Devices Professor Michael Austin Platform Technologies Research Institute Nano Materials and Devices Program Aim: to develop an integrated capability in nanotechnology Design and modelling

More information

Nanotechnology? Source: National Science Foundation (NSF), USA

Nanotechnology? Source: National Science Foundation (NSF), USA 2 2 Nanotechnology? Ability to work at the atomic, molecular and even sub-molecular levels in order to create and use material structures, devices and systems with new properties and functions Source:

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 ChiiDong Chen Institute of Physics, Academia Sinica chiidong@phys.sinica.edu.tw 02 27896766 Carbon contains 6 electrons: (1s) 2,

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

not to be confused with using the materials to template nanostructures

not to be confused with using the materials to template nanostructures Zeolites as Templates: continued Synthesis: Most zeolite syntheses are performed by using template-synthesis not to be confused with using the materials to template nanostructures templates are often surfactants

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

CHAPTER 11 Semiconductor Theory and Devices

CHAPTER 11 Semiconductor Theory and Devices CHAPTER 11 Semiconductor Theory and Devices 11.1 Band Theory of Solids 11.2 Semiconductor Theory 11.3 Semiconductor Devices 11.4 Nanotechnology It is evident that many years of research by a great many

More information

Nanotechnology: Today and tomorrow

Nanotechnology: Today and tomorrow Nanotechnology: Today and tomorrow Horst-Günter Rubahn NanoSYD Mads Clausen Instituttet Syddansk Universitet Alsion 2 6400 Sønderborg Agenda Alsion A bit about nano Nanoproducts Top down vs. bottom up

More information

4.2.1 Chemical bonds, ionic, covalent and metallic

4.2.1 Chemical bonds, ionic, covalent and metallic 4.2 Bonding, structure, and the properties of matter Chemists use theories of structure and bonding to explain the physical and chemical properties of materials. Analysis of structures shows that atoms

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

1. Introduction : 1.2 New properties:

1. Introduction : 1.2 New properties: Nanodevices In Electronics Rakesh Kasaraneni(PID : 4672248) Department of Electrical Engineering EEL 5425 Introduction to Nanotechnology Florida International University Abstract : This paper describes

More information

Nanophysics: Main trends

Nanophysics: Main trends Nano-opto-electronics Nanophysics: Main trends Nanomechanics Main issues Light interaction with small structures Molecules Nanoparticles (semiconductor and metallic) Microparticles Photonic crystals Nanoplasmonics

More information

Solutions for Assignment-8

Solutions for Assignment-8 Solutions for Assignment-8 Q1. The process of adding impurities to a pure semiconductor is called: [1] (a) Mixing (b) Doping (c) Diffusing (d) None of the above In semiconductor production, doping intentionally

More information

Nanotechnology where size matters

Nanotechnology where size matters Nanotechnology where size matters J Emyr Macdonald Overview Ways of seeing very small things What is nanotechnology and why is it important? Building nanostructures What we can do with nanotechnology?

More information

Fabrication Methods: Chapter 4. Often two methods are typical. Top Down Bottom up. Begins with atoms or molecules. Begins with bulk materials

Fabrication Methods: Chapter 4. Often two methods are typical. Top Down Bottom up. Begins with atoms or molecules. Begins with bulk materials Fabrication Methods: Chapter 4 Often two methods are typical Top Down Bottom up Begins with bulk materials Begins with atoms or molecules Reduced in size to nano By thermal, physical Chemical, electrochemical

More information

Microfabrication for MEMS: Part I

Microfabrication for MEMS: Part I Microfabrication for MEMS: Part I Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia, from whose lecture notes some of these materials are adapted. CL: 6.777J/2.372J

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer SUHAILA SEPEAI, A.W.AZHARI, SALEEM H.ZAIDI, K.SOPIAN Solar Energy Research Institute (SERI), Universiti Kebangsaan Malaysia (UKM), 43600

More information

1. Narrative Overview Questions

1. Narrative Overview Questions Homework 4 Due Nov. 16, 010 Required Reading: Text and Lecture Slides on Downloadable from Course WEB site: http://courses.washington.edu/overney/nme498.html 1. Narrative Overview Questions Question 1

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Chapter 12. Modern Materials. Chapter 12 Problems 7/3/2012. Problems 1, 4, 7, 9, 11, 13, 23, 29, 3143, 53, 55

Chapter 12. Modern Materials. Chapter 12 Problems 7/3/2012. Problems 1, 4, 7, 9, 11, 13, 23, 29, 3143, 53, 55 hemistry, The entral Science, 11th edition Theodore L. Brown, H. Eugene LeMay, Jr., and Bruce E. Bursten hapter 12 John D. Bookstaver St. harles ommunity ollege ottleville, MO hapter 12 Problems Problems

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

In the name of Allah

In the name of Allah In the name of Allah Nano chemistry- 4 th stage Lecture No. 1 History of nanotechnology 16-10-2016 Assistance prof. Dr. Luma Majeed Ahmed lumamajeed2013@gmail.com, luma.ahmed@uokerbala.edu.iq Nano chemistry-4

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction A nanometer (nm) is one billionth (10-9 ) of a meter. Nanoscience can be defined as the science of objects and phenomena occurring at the scale of 1 to 100 nm. The range of 1 100

More information

Nanotechnology. Gavin Lawes Department of Physics and Astronomy

Nanotechnology. Gavin Lawes Department of Physics and Astronomy Nanotechnology Gavin Lawes Department of Physics and Astronomy Earth-Moon distance 4x10 8 m (courtesy NASA) Length scales (Part I) Person 2m Magnetic nanoparticle 5x10-9 m 10 10 m 10 5 m 1 m 10-5 m 10-10

More information

4.2 Bonding, structure, and the properties of matter

4.2 Bonding, structure, and the properties of matter 4.2 Bonding, structure, and the properties of matter Chemists use theories of structure and bonding to explain the physical and chemical properties of materials. Analysis of structures shows that atoms

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

The first three categories are considered a bottom-up approach while lithography is a topdown

The first three categories are considered a bottom-up approach while lithography is a topdown Nanowires and Nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. The common characteristic of these structures is that all they

More information

Advanced characterization: the key factor for standardization at nm-scale. Olha Sereda

Advanced characterization: the key factor for standardization at nm-scale. Olha Sereda Advanced characterization: the key factor for standardization at nm-scale Olha Sereda osr@csem.ch about CSEM Our mission Development and transfer of microtechnologies to the industrial sector in order

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Current and Emergent Developments

Current and Emergent Developments Self Assembly and Biologically Inspired Processes in Applied Nanotechnology: Current and Emergent Developments Charles Ostman VP, Electronics & Photonics Forum chair NanoSig Senior Consultant Silicon Valley

More information

TECHNICAL INFORMATION. Quantum Dot

TECHNICAL INFORMATION. Quantum Dot Quantum Dot Quantum Dot is the nano meter sized semiconductor crystal with specific optical properties originates from the phenomenon which can be explained by the quantum chemistry and quantum mechanics.

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Nanoscale optical circuits: controlling light using localized surface plasmon resonances

Nanoscale optical circuits: controlling light using localized surface plasmon resonances Nanoscale optical circuits: controlling light using localized surface plasmon resonances T. J. Davis, D. E. Gómez and K. C. Vernon CSIRO Materials Science and Engineering Localized surface plasmon (LSP)

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the

materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the Nanotechnology is the creation of USEFUL/FUNCTIONAL materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the nanometer

More information

Final Reading Assignment: Travels to the Nanoworld: pages pages pages

Final Reading Assignment: Travels to the Nanoworld: pages pages pages Final Reading Assignment: Travels to the Nanoworld: pages 152-164 pages 201-214 pages 219-227 Bottom-up nanofabrication Can we assemble nanomachines manually? What are the components (parts)? nanoparticles

More information

Resistance (R) Temperature (T)

Resistance (R) Temperature (T) CHAPTER 1 Physical Properties of Elements and Semiconductors 1.1 Introduction Semiconductors constitute a large class of substances which have resistivities lying between those of insulators and conductors.

More information

FACULTY OF ENGINEERING ALEXANDRIA UNVERSITY. Solid State lab. Instructors Dr. M. Ismail El-Banna Dr. Mohamed A. El-Shimy TA Noha Hanafy

FACULTY OF ENGINEERING ALEXANDRIA UNVERSITY. Solid State lab. Instructors Dr. M. Ismail El-Banna Dr. Mohamed A. El-Shimy TA Noha Hanafy FACULTY OF ENGINEERING ALEXANDRIA UNVERSITY Solid State lab Instructors Dr. M. Ismail El-Banna Dr. Mohamed A. El-Shimy TA Noha Hanafy 2017-2018 first term A. Experiments 1- Relationship between the intensity

More information

OCR A GCSE Chemistry. Topic 2: Elements, compounds and mixtures. Properties of materials. Notes.

OCR A GCSE Chemistry. Topic 2: Elements, compounds and mixtures. Properties of materials. Notes. OCR A GCSE Chemistry Topic 2: Elements, compounds and mixtures Properties of materials Notes C2.3a recall that carbon can form four covalent bonds C2.3b explain that the vast array of natural and synthetic

More information

NANOSCIENCE: TECHNOLOGY AND ADVANCED MATERIALS

NANOSCIENCE: TECHNOLOGY AND ADVANCED MATERIALS UNIVERSITY OF SOUTHAMPTON PHYS6014W1 SEMESTER 2 EXAMINATIONS 2012-2013 NANOSCIENCE: TECHNOLOGY AND ADVANCED MATERIALS DURATION 120 MINS (2 Hours) This paper contains 8 questions Answer ALL questions in

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Electrical connection network within an electrically conductive adhesive

Electrical connection network within an electrically conductive adhesive Electrical connection network within an electrically conductive adhesive D.Busek, P. Mach Department of Electrotechnology, Faculty of Electrical Engineering Technická 2, 166 27 Prague, Czech Republic,

More information

Previous Faraday Discussions

Previous Faraday Discussions Previous Faraday Discussions All previous volumes can be viewed at Faraday Discussions from 2011 onwards are listed at Faraday Discussions 2000-2010 147: Chemistry of the Planets Introductory Lecture:

More information

Graphene Fundamentals and Emergent Applications

Graphene Fundamentals and Emergent Applications Graphene Fundamentals and Emergent Applications Jamie H. Warner Department of Materials University of Oxford Oxford, UK Franziska Schaffel Department of Materials University of Oxford Oxford, UK Alicja

More information

Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2])

Figure 1: Some examples of objects at different size scales ( 2001, CMP Científica, [2]) Top-Down vs. Bottom-Up Nanomanufacturing Prof. Derek J. Hansford, Biomedical Engineering Program and Department of Materials Science & Engineering Learning Objectives: This module should expose the student

More information

Special Topics in Semiconductor Nanotechnology ECE 598XL

Special Topics in Semiconductor Nanotechnology ECE 598XL Special Topics in Semiconductor Nanotechnology ECE 598XL Fall 2009 ECE 598XL Syllabus Overview: size matters Formation Process Characterization SOA device applications and potentials Homework or quizzes

More information

The Miller Index Activity Crystallography Overview Learning Module Participant Guide

The Miller Index Activity Crystallography Overview Learning Module Participant Guide Crystallography Overview Learning Module Participant Guide The purpose of this learning module is to introduce the science of crystallography and its importance to microtechnology. Activities provide additional

More information

DOWNLOAD OR READ : NANOSTRUCTURED MATERIALS PROCESSING PROPERTIES AND APPLICATIONS 2ND ENLARGED EDITION PDF EBOOK EPUB MOBI

DOWNLOAD OR READ : NANOSTRUCTURED MATERIALS PROCESSING PROPERTIES AND APPLICATIONS 2ND ENLARGED EDITION PDF EBOOK EPUB MOBI DOWNLOAD OR READ : NANOSTRUCTURED MATERIALS PROCESSING PROPERTIES AND APPLICATIONS 2ND ENLARGED EDITION PDF EBOOK EPUB MOBI Page 1 Page 2 nanostructured materials processing properties and applications

More information

SELF-ASSEMBLY AND NANOTECHNOLOGY A Force Balance Approach

SELF-ASSEMBLY AND NANOTECHNOLOGY A Force Balance Approach SELF-ASSEMBLY AND NANOTECHNOLOGY A Force Balance Approach Yoon S. Lee Scientific Information Analyst Chemical Abstracts Service A Division of the American Chemical Society Columbus, Ohio WILEY A JOHN WILEY

More information

Unit IV Semiconductors Engineering Physics

Unit IV Semiconductors Engineering Physics Introduction A semiconductor is a material that has a resistivity lies between that of a conductor and an insulator. The conductivity of a semiconductor material can be varied under an external electrical

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

Lesson 4: Tools of the Nanosciences. Student Materials

Lesson 4: Tools of the Nanosciences. Student Materials Lesson 4: Tools of the Nanosciences Student Materials Contents Black Box Lab Activity: Student Instructions and Worksheet Seeing and Building Small Things: Student Reading Seeing and Building Small Things:

More information

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications CH676 Physical Chemistry: Principles and Applications Crystal Structure and Chemistry Synthesis of Tetrahexahedral Platinum Nanocrystals with High-Index Facets and High Electro-Oxidation Activity Na Tian

More information

ME 4875/MTE C16. Introduction to Nanomaterials and Nanotechnology. Lecture 2 - Applications of Nanomaterials + Projects

ME 4875/MTE C16. Introduction to Nanomaterials and Nanotechnology. Lecture 2 - Applications of Nanomaterials + Projects ME 4875/MTE 575 - C16 Introduction to Nanomaterials and Nanotechnology Lecture 2 - Applications of Nanomaterials + Projects 1 Project Teams of 4 students each Literature review of one application of nanotechnology

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Electroluminescence from Silicon and Germanium Nanostructures

Electroluminescence from Silicon and Germanium Nanostructures Electroluminescence from silicon Silicon Getnet M. and Ghoshal S.K 35 ORIGINAL ARTICLE Electroluminescence from Silicon and Germanium Nanostructures Getnet Melese* and Ghoshal S. K.** Abstract Silicon

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Higher -o-o-o- Past Paper questions o-o-o- 3.3 Photoelectric

Higher -o-o-o- Past Paper questions o-o-o- 3.3 Photoelectric Higher -o-o-o- Past Paper questions 1991-2010 -o-o-o- 3.3 Photoelectric 1996 Q36 The work function for sodium metal is 2.9x10-19 J. Light of wavelength 5.4x10-7 m strikes the surface of this metal. What

More information

Design and Development of a Smartphone Based Visible Spectrophotometer for Analytical Applications

Design and Development of a Smartphone Based Visible Spectrophotometer for Analytical Applications Design and Development of a Smartphone Based Visible Spectrophotometer for Analytical Applications Bedanta Kr. Deka, D. Thakuria, H. Bora and S. Banerjee # Department of Physicis, B. Borooah College, Ulubari,

More information

Stepwise Solution Important Instructions to examiners:

Stepwise Solution Important Instructions to examiners: (ISO/IEC - 700-005 Certified) WINTER 0 EXAMINATION Subject Code: 70 Model Answer (Applied Science- Physics) Page No: 0/5 No. Sub. Important Instructions to examiners: ) The answers should be examined by

More information

26.542: COLLOIDAL NANOSCIENCE & NANOSCALE ENGINEERING Fall 2013

26.542: COLLOIDAL NANOSCIENCE & NANOSCALE ENGINEERING Fall 2013 26.542: COLLOIDAL NANOSCIENCE & NANOSCALE ENGINEERING Fall 2013 Classes: Thurs, 6-9 pm; Ball Hall Room 208 Professor: Dr. B. Budhlall Office: Ball Hall 203B, Phone: 978-934-3414 Email: Bridgette_Budhlall@uml.edu

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Designing Information Devices and Systems II A. Sahai, J. Roychowdhury, K. Pister Discussion 1A

Designing Information Devices and Systems II A. Sahai, J. Roychowdhury, K. Pister Discussion 1A EECS 16B Spring 2019 Designing Information Devices and Systems II A. Sahai, J. Roychowdhury, K. Pister Discussion 1A 1 Semiconductor Physics Generally, semiconductors are crystalline solids bonded into

More information